트랜지스터 카운트
| 반도체 소자 제조 |
|---|
트랜지스터 카운트(transistor count)는 전자 장치(일반적으로 단일 기판 또는 실리콘 다이)에 있는 트랜지스터 수이다. 이는 집적 회로 복잡성의 가장 일반적인 측정값이다(현대 마이크로프로세서의 대부분의 트랜지스터는 캐시 메모리에 포함되어 있지만, 캐시 메모리는 대부분 동일한 메모리 셀 회로를 여러 번 복제하여 구성된다). MOS 트랜지스터 수의 증가율은 일반적으로 약 2년마다 트랜지스터 수가 두 배로 증가한다는 무어의 법칙을 따른다. 그러나 다이 면적에 직접 비례하므로 트랜지스터 수는 해당 제조 기술의 발전도를 나타내지 않는다. 이에 대한 더 나은 지표는 반도체의 트랜지스터 수를 다이 면적으로 나눈 값인 트랜지스터 밀도이다.
기록
[편집]2023년 기준[update], 플래시 메모리에서 가장 높은 트랜지스터 수는 마이크론의 2 테라바이트 (3D-스택) 16개 다이, 232층 V-NAND 플래시 메모리 칩으로, 5.3 조 개의 플로팅 게이트 MOSFET을 가지고 있다(트랜지스터당 3 비트).
2020년 기준[update] 기준으로 단일 칩 프로세서에서 가장 높은 트랜지스터 수는 세레브라스의 딥 러닝 프로세서 웨이퍼 스케일 엔진 2이다. 이 프로세서는 TSMC의 7 nm 공정 핀펫 공정으로 제조된 웨이퍼에 84개의 노출된 필드(다이)에 2.6 조 개의 MOSFET이 들어있다.[1][2][3][4][5]
2024년 기준[update], 가장 높은 트랜지스터 수를 가진 그래픽 처리 장치는 엔비디아의 블랙웰 기반 B100 가속기로, TSMC의 맞춤형 4NP 공정 노드로 제작되었으며 총 2080억 개의 MOSFET을 포함한다.
2025년 3월 기준[update] 기준으로 소비자 마이크로프로세서에서 가장 높은 트랜지스터 수는 애플의 ARM 아키텍처 기반 듀얼 다이 M3 울트라 SoC에 있는 1840억 개의 트랜지스터이며, 이는 TSMC의 3 nm 반도체 제조 공정으로 제조된다.
| 연도 | 부품 | 이름 | MOSFET 수 (조 단위) |
비고 |
|---|---|---|---|---|
| 2022 | 플래시 메모리 | 마이크론의 V-NAND 모듈 | 5.3 | 16개의 232층 3D NAND 다이로 구성된 스택 패키지 |
| 2020 | 모든 프로세서 | 웨이퍼 스케일 엔진 2 | 2.6 | 84개의 노출된 필드(다이)로 구성된 웨이퍼 스케일 디자인 |
| 2024 | GPU | 엔비디아 B100 | 0.208 | 각각 1040억 개의 트랜지스터를 가진 두 개의 리티클 한계 다이를 사용하며, 하나의 거대한 단일 실리콘 조각처럼 결합되어 작동한다. |
| 2025 | 마이크로프로세서 (소비자용) |
애플 M3 울트라 | 0.184 | 고속 브리지로 연결된 두 개의 다이를 사용하는 SoC |
| 2020 | DLP | 콜로서스 Mk2 GC200 | 0.059 | CPU 및 GPU와 대조되는 IPU[a] (지능형 처리 장치) |
수많은 집적 회로로 구성된 컴퓨터 시스템 측면에서, 2016년 기준[update] 가장 많은 트랜지스터 수를 가진 슈퍼컴퓨터는 중국이 설계한 선웨이 타이후라이트였는데, 이 슈퍼컴퓨터는 모든 CPU/노드를 합쳐 "하드웨어 처리 부분에 약 400조 개의 트랜지스터"를 가지고 있었고, "DRAM에는 약 12경 개의 트랜지스터가 포함되어 있으며, 이는 전체 트랜지스터의 약 97%에 해당한다."[6] 비교하자면, 2018년 기준[update] 쌀알보다 훨씬 작은 가장 작은 컴퓨터는 약 10만 개의 트랜지스터를 가지고 있었다. 초기 실험적인 고체 컴퓨터는 130개 정도의 트랜지스터를 가졌지만 많은 양의 다이오드 논리를 사용했다. 최초의 탄소 나노튜브 컴퓨터는 178개의 트랜지스터를 가졌고 1비트 단일 명령어 집합 컴퓨터였으며, 이후의 컴퓨터는 16비트이다(그 명령어 집합은 32비트 RISC-V이지만).
이온 트랜지스터 칩("물 기반" 아날로그 제한 프로세서)은 최대 수백 개의 트랜지스터를 가지고 있다.[7]
제조된 총 트랜지스터 수 추정치:
트랜지스터 수
[편집]
마이크로프로세서
[편집]마이크로프로세서는 컴퓨터의 중앙 처리 장치 기능을 단일 집적 회로에 통합한다. 이는 디지털 데이터를 입력으로 받아 메모리에 저장된 지침에 따라 처리하고 결과를 출력으로 제공하는 다목적 프로그래밍 가능 장치이다.
1960년대의 MOS 집적회로 기술 개발은 최초의 마이크로프로세서 개발로 이어졌다.[10] 1970년 미국 해군의 F-14 톰캣 전투기를 위해 개럿 에어리서치가 개발한 20비트 MP944는 디자이너 레이 홀트에 의해 최초의 마이크로프로세서로 여겨진다.[11] 이는 6개의 MOS 칩으로 제작된 다중 칩 마이크로프로세서였다. 그러나 1998년까지 해군에 의해 기밀로 분류되었다. 1971년에 출시된 4비트 인텔 4004는 최초의 단일 칩 마이크로프로세서였다.
현대 마이크로프로세서는 일반적으로 온칩 캐시 메모리를 포함한다. 이러한 캐시 메모리에 사용되는 트랜지스터 수는 일반적으로 마이크로프로세서의 논리(즉, 캐시 제외)를 구현하는 데 사용되는 트랜지스터 수를 훨씬 초과한다. 예를 들어, 마지막 DEC 알파 칩은 트랜지스터의 90%를 캐시에 사용한다.[12]
| 프로세서 | 트랜지스터 수 | 연도 | 설계자 | 공정 (nm) |
면적 (mm2) | 트랜지스터 밀도 (tr./mm2) |
|---|---|---|---|---|---|---|
| MP944 (20비트, 6개 칩, 총 28개 칩) | 74,442 (ROM 및 RAM 제외 5,360)[13][14] | 1970[11][b] | 개럿 에어리서치 | ? | ? | ? |
| 인텔 4004 (4비트, 16핀) | 2,250 | 1971 | 인텔 | 10,000 nm | 12 mm2 | 188 |
| TMX 1795 (8비트, 24핀) | 3,078[15] | 1971 | 텍사스 인스트루먼트 | ? | 30.64 mm2 | 100.5 |
| 인텔 8008 (8비트, 18핀) | 3,500 | 1972 | 인텔 | 10,000 nm | 14 mm2 | 250 |
| NEC μCOM-4 (4비트, 42핀) | 2,500[16][17] | 1973 | NEC | 7,500 nm[18] | ? | ? |
| Toshiba TLCS-12 (12비트) | 11,000+[19] | 1973 | 도시바 | 6,000 nm | 32.45 mm2 | 340+ |
| 인텔 4040 (4비트, 16핀) | 3,000 | 1974 | 인텔 | 10,000 nm | 12 mm2 | 250 |
| 모토로라 6800 (8비트, 40핀) | 4,100 | 1974 | 모토로라 | 6,000 nm | 16 mm2 | 256 |
| 인텔 8080 (8비트, 40핀) | 6,000 | 1974 | 인텔 | 6,000 nm | 20 mm2 | 300 |
| TMS 1000 (4비트, 28핀) | 8,000[c] | 1974[20] | 텍사스 인스트루먼트 | 8,000 nm | 11 mm2 | 730 |
| HP 나노프로세서 (8비트, 40핀) | 4639[d][21] | 1974 | 휴렛 팩커드 | ? | 19 mm2 | ? |
| MOS 테크놀로지 6502 (8비트, 40핀) | 4,528[e][22] | 1975 | 모스 테크놀로지 | 8,000 nm | 21 mm2 | 216 |
| 인터실 IM6100 (12비트, 40핀; PDP-8 클론) | 4,000 | 1975 | 인터실 | ? | ? | ? |
| CDP 1801 (8비트, 2개 칩, 40핀) | 5,000 | 1975 | RCA | ? | ? | ? |
| RCA 1802 (8비트, 40핀) | 5,000 | 1976 | RCA | 5,000 nm | 27 mm2 | 185 |
| 자일로그 Z80 (8비트, 4비트 ALU, 40핀) | 8,500[f] | 1976 | 자일로그 | 4,000 nm | 18 mm2 | 470 |
| 인텔 8085 (8비트, 40핀) | 6,500 | 1976 | 인텔 | 3,000 nm | 20 mm2 | 325 |
| TMS9900 (16비트) | 8,000 | 1976 | 텍사스 인스트루먼트 | ? | ? | ? |
| 벨맥-8 (8비트) | 7,000 | 1977 | 벨 연구소 | 5,000 nm | ? | ? |
| Motorola 6809 (8비트 일부 16비트 기능 포함, 40핀) | 9,000 | 1978 | 모토로라 | 5,000 nm | 21 mm2 | 430 |
| 인텔 8086 (16비트, 40핀) | 29,000[23] | 1978 | 인텔 | 3,000 nm | 33 mm2 | 880 |
| 자일로그 Z8000 (16비트) | 17,500[24] | 1979 | 자일로그 | 5,000-6,000 nm (설계 규칙) | 39.31 mm2 (238x256 mil2) | 445 |
| 인텔 8088 (16비트, 8비트 데이터 버스) | 29,000 | 1979 | 인텔 | 3,000 nm | 33 mm2 | 880 |
| 모토로라 68000 (16/32비트, 32비트 레지스터, 16비트 ALU) | 68,000[25] | 1979 | 모토로라 | 3,500 nm | 44 mm2 | 1,550 |
| 인텔 8051 (8비트, 40핀) | 50,000 | 1980 | 인텔 | ? | ? | ? |
| WDC 65C02 | 11,500[26] | 1981 | WDC | 3,000 nm | 6 mm2 | 1,920 |
| ROMP (32비트) | 45,000 | 1981 | IBM | 2,000 nm | 58.52 mm2 | 770 |
| 인텔 80186 (16비트, 68핀) | 55,000 | 1982 | 인텔 | 3,000 nm | 60 mm2 | 920 |
| 인텔 80286 (16비트, 68핀) | 134,000 | 1982 | 인텔 | 1,500 nm | 49 mm2 | 2,730 |
| WDC 65C816 (8/16비트) | 22,000[27] | 1983 | WDC | 3,000 nm[28] | 9 mm2 | 2,400 |
| NEC V20 | 63,000 | 1984 | NEC | ? | ? | ? |
| 모토로라 68020 (32비트; 114핀 사용) | 190,000[29] | 1984 | 모토로라 | 2,000 nm | 85 mm2 | 2,200 |
| 인텔 80386 (32비트, 132핀; 캐시 없음) | 275,000 | 1985 | 인텔 | 1,500 nm | 104 mm2 | 2,640 |
| ARM 1 (32비트; 캐시 없음) | 25,000[29] | 1985 | 아콘 | 3,000 nm | 50 mm2 | 500 |
| Novix NC4016 (16비트) | 16,000[30] | 1985[31] | 해리스 코퍼레이션 | 3,000 nm[32] | ? | ? |
| SPARC MB86900 (32비트; 캐시 없음) | 110,000[33] | 1986 | 후지쯔 | 1,200 nm | ? | ? |
| NEC V60[34] (32비트; 캐시 없음) | 375,000 | 1986 | NEC | 1,500 nm | ? | ? |
| ARM 2 (32비트, 84핀; 캐시 없음) | 27,000[35][29] | 1986 | 아콘 | 2,000 nm | 30.25 mm2 | 890 |
| Z80000 (32비트; 아주 작은 캐시) | 91,000 | 1986 | 자일로그 | ? | ? | ? |
| NEC V70[34] (32비트; 캐시 없음) | 385,000 | 1987 | NEC | 1,500 nm | ? | ? |
| 히타치 Gmicro/200[36] | 730,000 | 1987 | 히타치 | 1,000 nm | ? | ? |
| 모토로라 68030 (32비트, 아주 작은 캐시) | 273,000 | 1987 | 모토로라 | 800 nm | 102 mm2 | 2,680 |
| TI 익스플로러의 32비트 리스프 머신 칩 | 553,000[37] | 1987 | 텍사스 인스트루먼트 | 2,000 nm[38] | ? | ? |
| DEC WRL MultiTitan | 180,000[39] | 1988 | DEC WRL | 1,500 nm | 61 mm2 | 2,950 |
| 인텔 i960 (32비트, 33비트 메모리 서브시스템, 캐시 없음) | 250,000[40] | 1988 | 인텔 | 1,500 nm[41] | ? | ? |
| 인텔 i960CA (32비트, 캐시) | 600,000[41] | 1989 | 인텔 | 800 nm | 143 mm2 | 4,200 |
| 인텔 i860 (32/64비트, 128비트 SIMD, 캐시, VLIW) | 1,000,000[42] | 1989 | 인텔 | ? | ? | ? |
| 인텔 80486 (32비트, 8 KB 캐시) | 1,180,235 | 1989 | 인텔 | 1,000 nm | 173 mm2 | 6,822 |
| ARM 3 (32비트, 4 KB 캐시) | 310,000 | 1989 | 아콘 | 1,500 nm | 87 mm2 | 3,600 |
| POWER1 (9개 칩 모듈, 72 kB 캐시) | 6,900,000[43] | 1990 | IBM | 1,000 nm | 1,283.61 mm2 | 5,375 |
| 모토로라 68040 (32비트, 8 KB 캐시) | 1,200,000 | 1990 | 모토로라 | 650 nm | 152 mm2 | 7,900 |
| R4000 (64비트, 16 KB 캐시) | 1,350,000 | 1991 | MIPS | 1,000 nm | 213 mm2 | 6,340 |
| ARM 6 (32비트, 이 60 변형에는 캐시 없음) | 35,000 | 1991 | ARM | 800 nm | ? | ? |
| 히타치 SH-1 (32비트, 캐시 없음) | 600,000[44] | 1992[45] | 히타치 | 800 nm | 100 mm2 | 6,000 |
| 인텔 i960CF (32비트, 캐시) | 900,000[41] | 1992 | 인텔 | ? | 125 mm2 | 7,200 |
| Alpha 21064 (64비트, 290핀; 16 KB 캐시) | 1,680,000 | 1992 | DEC | 750 nm | 233.52 mm2 | 7,190 |
| 히타치 HARP-1 (32비트, 캐시) | 2,800,000[46] | 1993 | 히타치 | 500 nm | 267 mm2 | 10,500 |
| 펜티엄 (32비트, 16 KB 캐시) | 3,100,000 | 1993 | 인텔 | 800 nm | 294 mm2 | 10,500 |
| POWER2 (8개 칩 모듈, 288 kB 캐시) | 23,037,000[47] | 1993 | IBM | 720 nm | 1,217.39 mm2 | 18,923 |
| ARM700 (32비트; 8 KB 캐시) | 578,977[48] | 1994 | ARM | 700 nm | 68.51 mm2 | 8,451 |
| MuP21 (21비트,[49] 40핀; 비디오 포함) | 7,000[50] | 1994 | Offete Enterprises | 1,200 nm | ? | ? |
| 모토로라 68060 (32비트, 16 KB 캐시) | 2,500,000 | 1994 | 모토로라 | 600 nm | 218 mm2 | 11,500 |
| 파워PC 601 (32비트, 32 KB 캐시) | 2,800,000[51] | 1994 | 애플, IBM, 모토로라 | 600 nm | 121 mm2 | 23,000 |
| 파워PC 603 (32비트, 16 KB 캐시) | 1,600,000[52] | 1994 | 애플, IBM, 모토로라 | 500 nm | 84.76 mm2 | 18,900 |
| 파워PC 603e (32비트, 32 KB 캐시) | 2,600,000[53] | 1995 | 애플, IBM, 모토로라 | 500 nm | 98 mm2 | 26,500 |
| Alpha 21164 EV5 (64비트, 112 kB 캐시) | 9,300,000[54] | 1995 | DEC | 500 nm | 298.65 mm2 | 31,140 |
| SA-110 (32비트, 32 KB 캐시) | 2,500,000[29] | 1995 | 아콘, DEC, 애플 | 350 nm | 50 mm2 | 50,000 |
| 펜티엄 프로 (32비트, 16 KB 캐시;[55] L2 캐시는 온패키지이지만 별도의 다이에 있음) | 5,500,000[56] | 1995 | 인텔 | 500 nm | 307 mm2 | 18,000 |
| PA-8000 64비트, 캐시 없음 | 3,800,000[57] | 1995 | HP | 500 nm | 337.69 mm2 | 11,300 |
| 알파 21164A EV56 (64비트, 112 kB 캐시) | 9,660,000[58] | 1996 | DEC | 350 nm | 208.8 mm2 | 46,260 |
| AMD K5 (32비트, 캐시) | 4,300,000 | 1996 | AMD | 500 nm | 251 mm2 | 17,000 |
| 펜티엄 II 클라마스 (32비트, 64비트 SIMD, 캐시) | 7,500,000 | 1997 | 인텔 | 350 nm | 195 mm2 | 39,000 |
| AMD K6 (32비트, 캐시) | 8,800,000 | 1997 | AMD | 350 nm | 162 mm2 | 54,000 |
| F21 (21비트; 예: 비디오 포함) | 15,000 | 1997[50] | Offete Enterprises | ? | ? | ? |
| AVR (8비트, 40핀; 메모리 포함) | 140,000 (48,000 메모리 제외[59]) |
1997 | 노르딕 VLSI/아트멜 | ? | ? | ? |
| 펜티엄 II 데슈츠 (32비트, 대용량 캐시) | 7,500,000 | 1998 | 인텔 | 250 nm | 113 mm2 | 66,000 |
| Alpha 21264 EV6 (64비트) | 15,200,000[60] | 1998 | DEC | 350 nm | 313.96 mm2 | 48,400 |
| Alpha 21164PC PCA57 (64비트, 48 kB 캐시) | 5,700,000 | 1998 | 삼성 | 280 nm | 100.5 mm2 | 56,700 |
| 히타치 SH-4 (32비트, 캐시)[61] | 3,200,000[62] | 1998 | 히타치 | 250 nm | 57.76 mm2 | 55,400 |
| ARM 9TDMI (32비트, 캐시 없음) | 111,000[29] | 1999 | 아콘 | 350 nm | 4.8 mm2 | 23,100 |
| 펜티엄 III 카트마이 (32비트, 128비트 SIMD, 캐시) | 9,500,000 | 1999 | 인텔 | 250 nm | 128 mm2 | 74,000 |
| 이모션 엔진 (64비트, 128비트 SIMD, 캐시) | 10,500,000[63] – 13,500,000[64] |
1999 | 소니, 도시바 | 250 nm | 239.7 mm2[63] | 43,800 – 56,300 |
| 펜티엄 II 모바일 딕슨 (32비트, 캐시) | 27,400,000 | 1999 | 인텔 | 180 nm | 180 mm2 | 152,000 |
| AMD K6-III (32비트, 캐시) | 21,300,000 | 1999 | AMD | 250 nm | 118 mm2 | 181,000 |
| AMD K7 (32비트, 캐시) | 22,000,000 | 1999 | AMD | 250 nm | 184 mm2 | 120,000 |
| 게코 (32비트, 대용량 캐시) | 21,000,000[65] | 2000 | IBM, 닌텐도 | 180 nm | 43 mm2 | 490,000 (확인) |
| 펜티엄 III 코퍼마인 (32비트, 대용량 캐시) | 21,000,000 | 2000 | 인텔 | 180 nm | 80 mm2 | 263,000 |
| 펜티엄 4 윌라메트 (32비트, 대용량 캐시) | 42,000,000 | 2000 | 인텔 | 180 nm | 217 mm2 | 194,000 |
| SPARC64 V (64비트, 대용량 캐시) | 191,000,000[66] | 2001 | 후지쯔 | 130 nm[67] | 290 mm2 | 659,000 |
| 펜티엄 III 투알라틴 (32비트, 대용량 캐시) | 45,000,000 | 2001 | 인텔 | 130 nm | 81 mm2 | 556,000 |
| 펜티엄 4 노스우드 (32비트, 대용량 캐시) | 55,000,000 | 2002 | 인텔 | 130 nm | 145 mm2 | 379,000 |
| 아이테니엄 2 맥킨리 (64비트, 대용량 캐시) | 220,000,000 | 2002 | 인텔 | 180 nm | 421 mm2 | 523,000 |
| Alpha 21364 (64비트, 946핀, SIMD, 매우 큰 캐시) | 152,000,000[12] | 2003 | DEC | 180 nm | 397 mm2 | 383,000 |
| AMD K7 바튼 (32비트, 대용량 캐시) | 54,300,000 | 2003 | AMD | 130 nm | 101 mm2 | 538,000 |
| AMD K8 (64비트, 대용량 캐시) | 105,900,000 | 2003 | AMD | 130 nm | 193 mm2 | 548,700 |
| 펜티엄 M 바니아스 (32비트) | 77,000,000[68] | 2003 | 인텔 | 130 nm | 83 mm2 | 928,000 |
| 아이테니엄 2 매디슨 6M (64비트) | 410,000,000 | 2003 | 인텔 | 130 nm | 374 mm2 | 1,096,000 |
| 플레이스테이션 2 단일 칩 (CPU + GPU) | 53,500,000[69] | 2003[70] | 소니, 도시바 | 90 nm[71] 130 nm[72][73] |
86 mm2 | 622,100 |
| 펜티엄 4 프레스콧 (32비트, 대용량 캐시) | 112,000,000 | 2004 | 인텔 | 90 nm | 110 mm2 | 1,018,000 |
| 펜티엄 M 도선 (32비트) | 144,000,000[74] | 2004 | 인텔 | 90 nm | 87 mm2 | 1,655,000 |
| SPARC64 V+ (64비트, 대용량 캐시) | 400,000,000[75] | 2004 | 후지쯔 | 90 nm | 294 mm2 | 1,360,000 |
| 아이테니엄 2 (64비트; 9 MB 캐시) | 592,000,000 | 2004 | 인텔 | 130 nm | 432 mm2 | 1,370,000 |
| 펜티엄 4 프레스콧-2M (32비트, 대용량 캐시) | 169,000,000 | 2005 | 인텔 | 90 nm | 143 mm2 | 1,182,000 |
| 펜티엄 D 스미스필드 (64비트, 대용량 캐시) | 228,000,000 | 2005 | 인텔 | 90 nm | 206 mm2 | 1,107,000 |
| 제논 (64비트, 128비트 SIMD, 대용량 캐시) | 165,000,000 | 2005 | IBM | 90 nm | ? | ? |
| 셀 (32비트, 캐시) | 250,000,000[76] | 2005 | 소니, IBM, 도시바 | 90 nm | 221 mm2 | 1,131,000 |
| 펜티엄 4 시더밀 (32비트, 대용량 캐시) | 184,000,000 | 2006 | 인텔 | 65 nm | 90 mm2 | 2,044,000 |
| 펜티엄 D 프레슬러 (64비트, 대용량 캐시) | 362,000,000[77] | 2006 | 인텔 | 65 nm | 162 mm2 | 2,235,000 |
| Core 2 Duo 콘로 (듀얼코어 64비트, 대용량 캐시) | 291,000,000 | 2006 | 인텔 | 65 nm | 143 mm2 | 2,035,000 |
| 듀얼 코어 아이테니엄 2 (64비트, SIMD, 대용량 캐시) | 1,700,000,000[78] | 2006 | 인텔 | 90 nm | 596 mm2 | 2,852,000 |
| AMD K10 쿼드코어 2M L3 (64비트, 대용량 캐시) | 463,000,000[79] | 2007 | AMD | 65 nm | 283 mm2 | 1,636,000 |
| ARM Cortex-A9 (32비트, (선택 사항) SIMD, 캐시) | 26,000,000[80] | 2007 | ARM | 45 nm | 31 mm2 | 839,000 |
| Core 2 Duo 울프데일 (듀얼 코어 64비트, SIMD, 캐시) | 411,000,000 | 2007 | 인텔 | 45 nm | 107 mm2 | 3,841,000 |
| POWER6 (64비트, 대용량 캐시) | 789,000,000 | 2007 | IBM | 65 nm | 341 mm2 | 2,314,000 |
| Core 2 Duo 알렌데일 (듀얼 코어 64비트, SIMD, 대용량 캐시) | 169,000,000 | 2007 | 인텔 | 65 nm | 111 mm2 | 1,523,000 |
| 유니피어 | 250,000,000[81] | 2007 | 마쓰시타 | 45 nm | ? | ? |
| SPARC64 VI (64비트, SIMD, 대용량 캐시) | 540,000,000 | 2007[82] | 후지쯔 | 90 nm | 421 mm2 | 1,283,000 |
| Core 2 Duo 울프데일 3M (듀얼 코어 64비트, SIMD, 대용량 캐시) | 230,000,000 | 2008 | 인텔 | 45 nm | 83 mm2 | 2,771,000 |
| 코어 i7 (쿼드 코어 64비트, SIMD, 대용량 캐시) | 731,000,000 | 2008 | 인텔 | 45 nm | 263 mm2 | 2,779,000 |
| AMD K10 쿼드 코어 6M L3 (64비트, SIMD, 대용량 캐시) | 758,000,000[79] | 2008 | AMD | 45 nm | 258 mm2 | 2,938,000 |
| 아톰 (32비트, 대용량 캐시) | 47,000,000 | 2008 | 인텔 | 45 nm | 24 mm2 | 1,958,000 |
| SPARC64 VII (64비트, SIMD, 대용량 캐시) | 600,000,000 | 2008[83] | 후지쯔 | 65 nm | 445 mm2 | 1,348,000 |
| 6코어 제온 7400 (64비트, SIMD, 대용량 캐시) | 1,900,000,000 | 2008 | 인텔 | 45 nm | 503 mm2 | 3,777,000 |
| 6코어 옵테론 2400 (64비트, SIMD, 대용량 캐시) | 904,000,000 | 2009 | AMD | 45 nm | 346 mm2 | 2,613,000 |
| SPARC64 VIIIfx (64비트, SIMD, 대용량 캐시) | 760,000,000[84] | 2009 | 후지쯔 | 45 nm | 513 mm2 | 1,481,000 |
| 아톰 (파인뷰) 64비트, 1코어, 512 kB L2 캐시 | 123,000,000[85] | 2010 | 인텔 | 45 nm | 66 mm2 | 1,864,000 |
| 아톰 (파인뷰) 64비트, 2코어, 1 MB L2 캐시 | 176,000,000[86] | 2010 | 인텔 | 45 nm | 87 mm2 | 2,023,000 |
| SPARC T3 (16코어 64비트, SIMD, 대용량 캐시) | 1,000,000,000[87] | 2010 | 썬/오라클 | 40 nm | 377 mm2 | 2,653,000 |
| 6코어 코어 i7 (걸프타운) | 1,170,000,000 | 2010 | 인텔 | 32 nm | 240 mm2 | 4,875,000 |
| POWER7 32M L3 (8코어 64비트, SIMD, 대용량 캐시) | 1,200,000,000 | 2010 | IBM | 45 nm | 567 mm2 | 2,116,000 |
| 쿼드 코어 z196[88] (64비트, 매우 큰 캐시) | 1,400,000,000 | 2010 | IBM | 45 nm | 512 mm2 | 2,734,000 |
| 쿼드 코어 아이테니엄 투킬라 (64비트, SIMD, 대용량 캐시) | 2,000,000,000[89] | 2010 | 인텔 | 65 nm | 699 mm2 | 2,861,000 |
| 제온 네할렘-EX (8코어 64비트, SIMD, 대용량 캐시) | 2,300,000,000[90] | 2010 | 인텔 | 45 nm | 684 mm2 | 3,363,000 |
| SPARC64 IXfx (64비트, SIMD, 대용량 캐시) | 1,870,000,000[91] | 2011 | 후지쯔 | 40 nm | 484 mm2 | 3,864,000 |
| 쿼드 코어 + GPU 코어 i7 (샌디브리지) (64비트, SIMD, 대용량 캐시) | 1,160,000,000 | 2011 | 인텔 | 32 nm | 216 mm2 | 5,370,000 |
| 6코어 코어 i7/8코어 제온 E5 (샌디브리지-E/EP) (64비트, SIMD, 대용량 캐시) |
2,270,000,000[92] | 2011 | 인텔 | 32 nm | 434 mm2 | 5,230,000 |
| 제온 Westmere-EX (10코어 64비트, SIMD, 대용량 캐시) | 2,600,000,000 | 2011 | 인텔 | 32 nm | 512 mm2 | 5,078,000 |
| 아톰 "메드필드" (64비트) | 432,000,000[93] | 2012 | 인텔 | 32 nm | 64 mm2 | 6,750,000 |
| SPARC64 X (64비트, SIMD, 캐시) | 2,990,000,000[94] | 2012 | 후지쯔 | 28 nm | 600 mm2 | 4,983,000 |
| AMD 불도저 (8코어 64비트, SIMD, 캐시) | 1,200,000,000[95] | 2012 | AMD | 32 nm | 315 mm2 | 3,810,000 |
| 쿼드 코어 + GPU AMD 트리니티 (64비트, SIMD, 캐시) | 1,303,000,000 | 2012 | AMD | 32 nm | 246 mm2 | 5,297,000 |
| 쿼드 코어 + GPU 코어 i7 아이비브리지 (64비트, SIMD, 캐시) | 1,400,000,000 | 2012 | 인텔 | 22 nm | 160 mm2 | 8,750,000 |
| POWER7+ (8코어 64비트, SIMD, 80 MB L3 캐시) | 2,100,000,000 | 2012 | IBM | 32 nm | 567 mm2 | 3,704,000 |
| 6코어 zEC12 (64비트, SIMD, 대용량 캐시) | 2,750,000,000 | 2012 | IBM | 32 nm | 597 mm2 | 4,606,000 |
| 아이테니엄 폴슨 (8코어 64비트, SIMD, 캐시) | 3,100,000,000 | 2012 | 인텔 | 32 nm | 544 mm2 | 5,699,000 |
| 제온 파이 (61코어 32비트, 512비트 SIMD, 캐시) | 5,000,000,000[96] | 2012 | 인텔 | 22 nm | 720 mm2 | 6,944,000 |
| 애플 A7 (듀얼 코어 64/32비트 ARM64, "모바일 SoC", SIMD, 캐시) | 1,000,000,000 | 2013 | 애플 | 28 nm | 102 mm2 | 9,804,000 |
| 6코어 코어 i7 아이비브리지 E (64비트, SIMD, 캐시) | 1,860,000,000 | 2013 | 인텔 | 22 nm | 256 mm2 | 7,266,000 |
| POWER8 (12코어 64비트, SIMD, 캐시) | 4,200,000,000 | 2013 | IBM | 22 nm | 650 mm2 | 6,462,000 |
| 엑스박스 원 메인 SoC (64비트, SIMD, 캐시) | 5,000,000,000 | 2013 | 마이크로소프트, AMD | 28 nm | 363 mm2 | 13,770,000 |
| 쿼드 코어 + GPU 코어 i7 하스웰 (64비트, SIMD, 캐시) | 1,400,000,000[97] | 2014 | 인텔 | 22 nm | 177 mm2 | 7,910,000 |
| 애플 A8 (듀얼 코어 64/32비트 ARM64 "모바일 SoC", SIMD, 캐시) | 2,000,000,000 | 2014 | 애플 | 20 nm | 89 mm2 | 22,470,000 |
| 코어 i7 하스웰-E (8코어 64비트, SIMD, 캐시) | 2,600,000,000[98] | 2014 | 인텔 | 22 nm | 355 mm2 | 7,324,000 |
| 애플 A8X (트리플 코어 64/32비트 ARM64 "모바일 SoC", SIMD, 캐시) | 3,000,000,000[99] | 2014 | 애플 | 20 nm | 128 mm2 | 23,440,000 |
| 제온 아이비브리지-EX (15코어 64비트, SIMD, 캐시) | 4,310,000,000[100] | 2014 | 인텔 | 22 nm | 541 mm2 | 7,967,000 |
| 제온 하스웰-E5 (18코어 64비트, SIMD, 캐시) | 5,560,000,000[101] | 2014 | 인텔 | 22 nm | 661 mm2 | 8,411,000 |
| 쿼드 코어 + GPU GT2 코어 i7 스카이레이크 K (64비트, SIMD, 캐시) | 1,750,000,000 | 2015 | 인텔 | 14 nm | 122 mm2 | 14,340,000 |
| 듀얼 코어 + GPU 아이리스 코어 i7 브로드웰-U (64비트, SIMD, 캐시) | 1,900,000,000[102] | 2015 | 인텔 | 14 nm | 133 mm2 | 14,290,000 |
| 애플 A9 (듀얼 코어 64/32비트 ARM64 "모바일 SoC", SIMD, 캐시) | 2,000,000,000+ | 2015 | 애플 | 14 nm (삼성) |
96 mm2 (삼성) |
20,800,000+ |
| 16 nm (TSMC) |
104.5 mm2 (TSMC) |
19,100,000+ | ||||
| 애플 A9X (듀얼 코어 64/32비트 ARM64 "모바일 SoC", SIMD, 캐시) | 3,000,000,000+ | 2015 | 애플 | 16 nm | 143.9 mm2 | 20,800,000+ |
| IBM z13 (64비트, 캐시) | 3,990,000,000 | 2015 | IBM | 22 nm | 678 mm2 | 5,885,000 |
| IBM z13 스토리지 컨트롤러 | 7,100,000,000 | 2015 | IBM | 22 nm | 678 mm2 | 10,472,000 |
| SPARC M7 (32코어 64비트, SIMD, 캐시) | 10,000,000,000[103] | 2015 | 오라클 | 20 nm | ? | ? |
| 코어 i7 브로드웰-E (10코어 64비트, SIMD, 캐시) | 3,200,000,000[104] | 2016 | 인텔 | 14 nm | 246 mm2[105] | 13,010,000 |
| 애플 A10 퓨전 (쿼드 코어 64/32비트 ARM64 "모바일 SoC", SIMD, 캐시) | 3,300,000,000 | 2016 | 애플 | 16 nm | 125 mm2 | 26,400,000 |
| 하이실리콘 기린 960 (옥타 코어 64/32비트 ARM64 "모바일 SoC", SIMD, 캐시) | 4,000,000,000[106] | 2016 | 화웨이 | 16 nm | 110.00 mm2 | 36,360,000 |
| 제온 브로드웰-E5 (22코어 64비트, SIMD, 캐시) | 7,200,000,000[107] | 2016 | 인텔 | 14 nm | 456 mm2 | 15,790,000 |
| 제온 파이 (72코어 64비트, 512비트 SIMD, 캐시) | 8,000,000,000 | 2016 | 인텔 | 14 nm | 683 mm2 | 11,710,000 |
| Zip CPU (32비트, FPGA용) | 1,286 6-LUTs[108] | 2016 | Gisselquist Technology | ? | ? | ? |
| 퀄컴 스냅드래곤 835 (옥타 코어 64/32비트 ARM64 "모바일 SoC", SIMD, 캐시) | 3,000,000,000[109][110] | 2016 | 퀄컴 | 10 nm | 72.3 mm2 | 41,490,000 |
| 애플 A11 바이오닉 (헥사 코어 64/32비트 ARM64 "모바일 SoC", SIMD, 캐시) | 4,300,000,000 | 2017 | 애플 | 10 nm | 89.23 mm2 | 48,190,000 |
| AMD 젠 CCX (코어 컴플렉스 유닛: 4코어, 8 MB L3 캐시) | 1,400,000,000[111] | 2017 | AMD | 14 nm (GF 14LPP) |
44 mm2 | 31,800,000 |
| AMD 제플린 SoC 라이젠 (64비트, SIMD, 캐시) | 4,800,000,000[112] | 2017 | AMD | 14 nm | 192 mm2 | 25,000,000 |
| AMD 라이젠 5 1600 라이젠 (64비트, SIMD, 캐시) | 4,800,000,000[113] | 2017 | AMD | 14 nm | 213 mm2 | 22,530,000 |
| IBM z14 (64비트, SIMD, 캐시) | 6,100,000,000 | 2017 | IBM | 14 nm | 696 mm2 | 8,764,000 |
| IBM z14 스토리지 컨트롤러 (64비트) | 9,700,000,000 | 2017 | IBM | 14 nm | 696 mm2 | 13,940,000 |
| 하이실리콘 기린 970 (옥타 코어 64/32비트 ARM64 "모바일 SoC", SIMD, 캐시) | 5,500,000,000[114] | 2017 | 하이실리콘 | 10 nm | 96.72 mm2 | 56,900,000 |
| 엑스박스 원 X (프로젝트 스콜피오) 메인 SoC (64비트, SIMD, 캐시) | 7,000,000,000[115] | 2017 | 마이크로소프트, AMD | 16 nm | 360 mm2[115] | 19,440,000 |
| 제온 플래티넘 8180 (28코어 64비트, SIMD, 캐시) | 8,000,000,000[116] | 2017 | 인텔 | 14 nm | ? | ? |
| 제온 (미지정) | 7,100,000,000[117] | 2017 | 인텔 | 14 nm | 672 mm2 | 10,570,000 |
| POWER9 (64비트, SIMD, 캐시) | 8,000,000,000 | 2017 | IBM | 14 nm | 695 mm2 | 11,500,000 |
| 프리덤 U500 베이스 플랫폼 칩 (E51, 4×U54) RISC-V (64비트, 캐시) | 250,000,000[118] | 2017 | SiFive | 28 nm | ~30 mm2 | 8,330,000 |
| SPARC64 XII (12코어 64비트, SIMD, 캐시) | 5,450,000,000[119] | 2017 | 후지쯔 | 20 nm | 795 mm2 | 6,850,000 |
| 애플 A10X 퓨전 (헥사 코어 64/32비트 ARM64 "모바일 SoC", SIMD, 캐시) | 4,300,000,000[120] | 2017 | 애플 | 10 nm | 96.40 mm2 | 44,600,000 |
| 센트릭 2400 (64/32비트, SIMD, 캐시) | 18,000,000,000[121] | 2017 | 퀄컴 | 10 nm | 398 mm2 | 45,200,000 |
| AMD Epyc (32코어 64비트, SIMD, 캐시) | 19,200,000,000 | 2017 | AMD | 14 nm | 768 mm2 | 25,000,000 |
| 퀄컴 스냅드래곤 845 (옥타 코어 64/32비트 ARM64 "모바일 SoC", SIMD, 캐시) | 5,300,000,000[122] | 2017 | 퀄컴 | 10 nm | 94 mm2 | 56,400,000 |
| 퀄컴 스냅드래곤 850 (옥타 코어 64/32비트 ARM64 "모바일 SoC", SIMD, 캐시) | 5,300,000,000[123] | 2017 | 퀄컴 | 10 nm | 94 mm2 | 56,400,000 |
| 하이실리콘 기린 710 (옥타 코어 ARM64 "모바일 SoC", SIMD, 캐시) | 5,500,000,000[124] | 2018 | 화웨이 | 12 nm | ? | ? |
| 애플 A12 바이오닉 (헥사 코어 ARM64 "모바일 SoC", SIMD, 캐시) | 6,900,000,000 [125][126] |
2018 | 애플 | 7 nm | 83.27 mm2 | 82,900,000 |
| 하이실리콘 기린 980 (옥타 코어 ARM64 "모바일 SoC", SIMD, 캐시) | 6,900,000,000[127] | 2018 | 하이실리콘 | 7 nm | 74.13 mm2 | 93,100,000 |
| 퀄컴 스냅드래곤 8cx / SCX8180 (옥타 코어 ARM64 "모바일 SoC", SIMD, 캐시) | 8,500,000,000[128] | 2018 | 퀄컴 | 7 nm | 112 mm2 | 75,900,000 |
| 애플 A12X 바이오닉 (옥타 코어 64/32비트 ARM64 "모바일 SoC", SIMD, 캐시) | 10,000,000,000[129] | 2018 | 애플 | 7 nm | 122 mm2 | 82,000,000 |
| 후지쯔 A64FX (64/32비트, SIMD, 캐시) | 8,786,000,000[130] | 2018[131] | 후지쯔 | 7 nm | ? | ? |
| 테그라 자비에르 SoC (64/32비트) | 9,000,000,000[132] | 2018 | 엔비디아 | 12 nm | 350 mm2 | 25,700,000 |
| 퀄컴 스냅드래곤 855 (옥타 코어 64/32비트 ARM64 "모바일 SoC", SIMD, 캐시) | 6,700,000,000[133] | 2018 | 퀄컴 | 7 nm | 73 mm2 | 91,800,000 |
| AMD 젠 2 코어 (0.5 MB L2 + 4 MB L3 캐시) | 475,000,000[134] | 2019 | AMD | 7 nm | 7.83 mm2 | 60,664,000 |
| AMD 젠 2 CCX (코어 컴플렉스: 4코어, 16 MB L3 캐시) | 1,900,000,000[134] | 2019 | AMD | 7 nm | 31.32 mm2 | 60,664,000 |
| AMD 젠 2 CCD (코어 컴플렉스 다이: 8코어, 32 MB L3 캐시) | 3,800,000,000[134] | 2019 | AMD | 7 nm | 74 mm2 | 51,350,000 |
| AMD 젠 2 클라이언트 I/O 다이 | 2,090,000,000[134] | 2019 | AMD | 12 nm | 125 mm2 | 16,720,000 |
| AMD 젠 2 서버 I/O 다이 | 8,340,000,000[134] | 2019 | AMD | 12 nm | 416 mm2 | 20,050,000 |
| AMD 젠 2 르누아르 다이 | 9,800,000,000[134] | 2019 | AMD | 7 nm | 156 mm2 | 62,820,000 |
| AMD 라이젠 7 3700X (64비트, SIMD, 캐시, I/O 다이) | 5,990,000,000[135][g] | 2019 | AMD | 7 & 12 nm (TSMC) |
199 (74+125) mm2 |
30,100,000 |
| 하이실리콘 기린 990 4G | 8,000,000,000[136] | 2019 | 화웨이 | 7 nm | 90.00 mm2 | 89,000,000 |
| 애플 A13 (헥사 코어 64비트 ARM64 "모바일 SoC", SIMD, 캐시) | 8,500,000,000 [137][138] |
2019 | 애플 | 7 nm | 98.48 mm2 | 86,300,000 |
| IBM z15 CP 칩 (12코어, 256 MB L3 캐시) | 9,200,000,000[139] | 2019 | IBM | 14 nm | 696 mm2 | 13,220,000 |
| IBM z15 SC 칩 (960 MB L4 캐시) | 12,200,000,000 | 2019 | IBM | 14 nm | 696 mm2 | 17,530,000 |
| AMD 라이젠 9 3900X (64비트, SIMD, 캐시, I/O 다이) | 9,890,000,000 [140][141] |
2019 | AMD | 7 & 12 nm (TSMC) |
273 mm2 | 36,230,000 |
| 하이실리콘 기린 990 5G | 10,300,000,000[142] | 2019 | 화웨이 | 7 nm | 113.31 mm2 | 90,900,000 |
| AWS 그라비톤2 (64비트, 64코어 ARM 기반, SIMD, 캐시)[143][144] | 30,000,000,000 | 2019 | 아마존 | 7 nm | ? | ? |
| AMD Epyc 로마 (64비트, SIMD, 캐시) | 39,540,000,000 [140][141] |
2019 | AMD | 7 & 12 nm (TSMC) |
1,008 mm2 | 39,226,000 |
| 퀄컴 스냅드래곤 865 (옥타 코어 64/32비트 ARM64 "모바일 SoC", SIMD, 캐시) | 10,300,000,000[145] | 2019 | 퀄컴 | 7 nm | 83.54 mm2[146] | 123,300,000 |
| TI 자신토 TDA4VM (ARM A72, DSP, SRAM) | 3,500,000,000[147] | 2020 | 텍사스 인스트루먼트 | 16 nm | ? | ? |
| 애플 A14 바이오닉 (헥사 코어 64비트 ARM64 "모바일 SoC", SIMD, 캐시) | 11,800,000,000[148] | 2020 | 애플 | 5 nm | 88 mm2 | 134,100,000 |
| 애플 M1 (옥타 코어 64비트 ARM64 SoC, SIMD, 캐시) | 16,000,000,000[149] | 2020 | 애플 | 5 nm | 119 mm2 | 134,500,000 |
| 하이실리콘 기린 9000 | 15,300,000,000 [150][151] |
2020 | 화웨이 | 5 nm | 114 mm2 | 134,200,000 |
| AMD 젠 3 CCX (코어 컴플렉스 유닛: 8코어, 32 MB L3 캐시) | 4,080,000,000[152] | 2020 | AMD | 7 nm | 68 mm2 | 60,000,000 |
| AMD 젠 3 CCD (코어 컴플렉스 다이) | 4,150,000,000[152] | 2020 | AMD | 7 nm | 81 mm2 | 51,230,000 |
| 코어 11세대 로켓레이크 (8코어 64비트, SIMD, 대용량 캐시) | 6,000,000,000+[153] | 2021 | 인텔 | 14 nm +++ 14 nm | 276 mm2[154] | 37,500,000 or 21,800,000+[155] |
| AMD 라이젠 7 5800H (64비트, SIMD, 캐시, I/O 및 GPU) | 10,700,000,000[156] | 2021 | AMD | 7 nm | 180 mm2 | 59,440,000 |
| AMD Epyc 7763 (밀란) (64코어, 64비트) | ? | 2021 | AMD | 7 & 12 nm (TSMC) |
1,064 mm2 (8×81+416)[157] |
? |
| 애플 A15 | 15,000,000,000 [158][159] |
2021 | 애플 | 5 nm | 107.68 mm2 | 139,300,000 |
| 애플 M1 프로 (10코어, 64비트) | 33,700,000,000[160] | 2021 | 애플 | 5 nm | 245 mm2[161] | 137,600,000 |
| 애플 M1 맥스 (10코어, 64비트) | 57,000,000,000 [162][160] |
2021 | 애플 | 5 nm | 420.2 mm2[163] | 135,600,000 |
| Power10 듀얼 칩 모듈 (30 SMT8 코어 또는 60 SMT4 코어) | 36,000,000,000[164] | 2021 | IBM | 7 nm | 1,204 mm2 | 29,900,000 |
| 디멘시티 9000 (ARM64 SoC) | 15,300,000,000 [165][166] |
2021 | 미디어텍 | 4 nm (TSMC N4) |
? | ? |
| 애플 A16 (ARM64 SoC) | 16,000,000,000 [167][168][169] |
2022 | 애플 | 4 nm | ? | ? |
| 애플 M1 울트라 (듀얼 칩 모듈, 2×10 코어) | 114,000,000,000 [170][171] |
2022 | 애플 | 5 nm | 840.5 mm2[163] | 135,600,000 |
| AMD Epyc 7773X (밀란-X) (멀티 칩 모듈, 64코어, 768 MB L3 캐시) | 26,000,000,000 + 밀란[172] | 2022 | AMD | 7 & 12 nm (TSMC) |
1,352 mm2 (밀란 + 8×36)[172] |
? |
| IBM 텔룸 듀얼 칩 모듈 (2×8 코어, 2×256 MB 캐시) | 45,000,000,000 [173][174] |
2022 | IBM | 7 nm (삼성) | 1,060 mm2 | 42,450,000 |
| 애플 M2 (옥타 코어 64비트 ARM64 SoC, SIMD, 캐시) | 20,000,000,000[175] | 2022 | 애플 | 5 nm | ? | ? |
| 디멘시티 9200 (ARM64 SoC) | 17,000,000,000 [176][177][178] |
2022 | 미디어텍 | 4 nm (TSMC N4P) |
? | ? |
| 퀄컴 스냅드래곤 8 Gen 2 (옥타 코어 ARM64 "모바일 SoC", SIMD, 캐시) | 16,000,000,000 | 2022 | 퀄컴 | 4 nm | 268 mm2 | 59,701,492 |
| AMD EPYC 제노아 (4세대/9004 시리즈) 13개 칩 모듈 (최대 96코어 및 384 MB (L3) + 96 MB (L2) 캐시)[179] | 90,000,000,000 [180][181] |
2022 | AMD | 5 nm (CCD) 6 nm (IOD) |
1,263.34 mm2 12×72.225 (CCD) 396.64 (IOD) [182][183] |
71,240,000 |
| 하이실리콘 기린 9000s | 9,510,000,000[184] | 2023 | 화웨이 | 7 nm | 107 mm2 | 107,690,000 |
| 애플 M4 (데카 코어 64비트 ARM64 SoC, SIMD, 캐시) | 28,000,000,000[185] | 2024 | 애플 | 3 nm | ? | ? |
| 애플 M3 (옥타 코어 64비트 ARM64 SoC, SIMD, 캐시) | 25,000,000,000[186] | 2023 | 애플 | 3 nm | ? | ? |
| 애플 M3 프로 (도데카 코어 64비트 ARM64 SoC, SIMD, 캐시) | 37,000,000,000[186] | 2023 | 애플 | 3 nm | ? | ? |
| 애플 M3 맥스 (16코어 64비트 ARM64 SoC, SIMD, 캐시) | 92,000,000,000[186] | 2023 | 애플 | 3 nm | ? | ? |
| 애플 A17 | 19,000,000,000 [187] |
2023 | 애플 | 3 nm | 103.8 mm2 | 183,044,315 |
| 사파이어 래피즈 쿼드 칩 모듈 (최대 60코어 및 112.5 MB 캐시)[188] | 44,000,000,000– 48,000,000,000[189] |
2023 | 인텔 | 10 nm ESF (Intel 7) | 1,600 mm2 | 27,500,000– 30,000,000 |
| 애플 M2 프로 (12코어 64비트 ARM64 SoC, SIMD, 캐시) | 40,000,000,000[190] | 2023 | 애플 | 5 nm | ? | ? |
| 애플 M2 맥스 (12코어 64비트 ARM64 SoC, SIMD, 캐시) | 67,000,000,000[190] | 2023 | 애플 | 5 nm | ? | ? |
| 애플 M2 울트라 (두 개의 M2 맥스 다이) | 134,000,000,000[191] | 2023 | 애플 | 5 nm | ? | ? |
| AMD EPYC 베르가모 (4세대/97X4 시리즈) 9개 칩 모듈 (최대 128코어 및 256 MB (L3) + 128 MB (L2) 캐시) | 82,000,000,000[192] | 2023 | AMD | 5 nm (CCD) 6 nm (IOD) |
? | ? |
| AMD 인스팅트 MI300A (멀티 칩 모듈, 24코어, 128 GB GPU 메모리 + 256 MB (LLC/L3) 캐시) | 146,000,000,000[193][194] | 2023 | AMD | 5 nm (CCD, GCD) 6 nm (IOD) |
1,017 mm2 | 144,000,000 |
| RV32-WUJI: 사파이어 위의 3원자 두께 이황화 몰리브덴; RISC-V 아키텍처 | 5931[195] | 2025 | ? | 3000 nm | ? | ? |
| Processor | 트랜지스터 수 | 연도 | 설계자 | 공정 (nm) |
면적 (mm2) | 트랜지스터 밀도 (tr./mm2) |
GPU
[편집]그래픽 처리 장치 (GPU)는 디스플레이로 출력하기 위한 프레임 버퍼에 이미지를 구축하는 속도를 높이기 위해 메모리를 빠르게 조작하고 변경하도록 설계된 특수 전자 회로이다.
설계자는 엔비디아 및 AMD와 같은 기술 기업을 의미하며, 집적 회로 칩의 논리를 설계한다. 제조사("Fab.")는 TSMC 및 삼성 반도체와 같은 파운드리에서 반도체 제조 공정을 사용하여 칩을 제작하는 반도체 회사를 의미한다. 칩의 트랜지스터 수는 제조사의 제조 공정에 따라 달라지며, 더 작은 반도체 노드는 일반적으로 더 높은 트랜지스터 밀도를 가능하게 하여 더 높은 트랜지스터 수를 허용한다.
GPU와 함께 제공되는 램 (VRAM, SGRAM 또는 HBM 등)은 총 트랜지스터 수를 크게 증가시키며, 메모리는 일반적으로 그래픽 카드의 트랜지스터 대부분을 차지한다. 예를 들어, 엔비디아의 테슬라 P100은 16 GB의 HBM2 메모리 외에 GPU에 150억 개의 핀펫 (16 nm)이 있어 그래픽 카드에 총 약 1500억 개의 MOSFET이 있다.[196] 다음 표에는 메모리가 포함되지 않는다. 메모리 트랜지스터 수는 아래 메모리 섹션을 참조하십시오.
| 프로세서 | 트랜지스터 수 | 연도 | 설계자 | 제조사 | 공정 | 면적 | 트랜지스터 밀도 (tr./mm2) |
Ref |
|---|---|---|---|---|---|---|---|---|
| μPD7220 GDC | 40,000 | 1982 | NEC | NEC | 5,000 nm | ? | ? | [197] |
| ARTC HD63484 | 60,000 | 1984 | 히타치 | 히타치 | ? | ? | ? | [198] |
| CBM 아그누스 | 21,000 | 1985 | 코모도어 | CSG | 5,000 nm | ? | ? | [199][200] |
| YM7101 VDP | 100,000 | 1988 | 야마하, 세가 | 야마하 | ? | ? | ? | [201] |
| 톰 & 제리 | 750,000 | 1993 | 플레어 | IBM | ? | ? | ? | [201] |
| VDP1 | 1,000,000 | 1994 | 세가 | 히타치 | 500 nm | ? | ? | [202] |
| 소니 GPU | 1,000,000 | 1994 | 도시바 | LSI | 500 nm | ? | ? | [203][204][205] |
| NV1 | 1,000,000 | 1995 | 엔비디아, 세가 | SGS | 500 nm | 90 mm2 | 11,000 | |
| 리얼리티 코프로세서 | 2,600,000 | 1996 | SGI | NEC | 350 nm | 81 mm2 | 32,100 | [206] |
| PowerVR | 1,200,000 | 1996 | VideoLogic | NEC | 350 nm | ? | ? | [207] |
| 부두 그래픽스 | 1,000,000 | 1996 | 3dfx | TSMC | 500 nm | ? | ? | [208][209] |
| Voodoo Rush | 1,000,000 | 1997 | 3dfx | TSMC | 500 nm | ? | ? | [208][209] |
| NV3 | 3,500,000 | 1997 | 엔비디아 | SGS, TSMC | 350 nm | 90 mm2 | 38,900 | [210][211] |
| i740 | 3,500,000 | 1998 | 인텔, Real3D | Real3D | 350 nm | ? | ? | [208][209] |
| 부두 2 | 4,000,000 | 1998 | 3dfx | TSMC | 350 nm | ? | ? | |
| Voodoo Rush | 4,000,000 | 1998 | 3dfx | TSMC | 350 nm | ? | ? | |
| NV4 | 7,000,000 | 1998 | 엔비디아 | TSMC | 350 nm | 90 mm2 | 78,000 | [208][211] |
| PowerVR2 CLX2 | 10,000,000 | 1998 | VideoLogic | NEC | 250 nm | 116 mm2 | 86,200 | [212][213][214][215] |
| PowerVR2 PMX1 | 6,000,000 | 1999 | VideoLogic | NEC | 250 nm | ? | ? | [216] |
| Rage 128 | 8,000,000 | 1999 | ATI | TSMC, UMC | 250 nm | 70 mm2 | 114,000 | [209] |
| 부두 3 | 8,100,000 | 1999 | 3dfx | TSMC | 250 nm | ? | ? | [217] |
| 그래픽스 신시사이저 | 43,000,000 | 1999 | 소니, 도시바 | 소니, 도시바 | 180 nm | 279 mm2 | 154,000 | [65][218][64][63] |
| NV5 | 15,000,000 | 1999 | 엔비디아 | TSMC | 250 nm | 90 mm2 | 167,000 | [209] |
| NV10 | 17,000,000 | 1999 | 엔비디아 | TSMC | 220 nm | 111 mm2 | 153,000 | [219][211] |
| NV11 | 20,000,000 | 2000 | 엔비디아 | TSMC | 180 nm | 65 mm2 | 308,000 | [209] |
| NV15 | 25,000,000 | 2000 | 엔비디아 | TSMC | 180 nm | 81 mm2 | 309,000 | [209] |
| 부두 4 | 14,000,000 | 2000 | 3dfx | TSMC | 220 nm | ? | ? | [208][209] |
| 부두 5 | 28,000,000 | 2000 | 3dfx | TSMC | 220 nm | ? | ? | [208][209] |
| R100 | 30,000,000 | 2000 | ATI | TSMC | 180 nm | 97 mm2 | 309,000 | [209] |
| 플리퍼 | 51,000,000 | 2000 | ArtX | NEC | 180 nm | 106 mm2 | 481,000 | [65][220] |
| PowerVR3 KYRO | 14,000,000 | 2001 | 이미지네이션 | ST | 250 nm | ? | ? | [208][209] |
| PowerVR3 KYRO II | 15,000,000 | 2001 | 이미지네이션 | ST | 180 nm | |||
| NV2A | 60,000,000 | 2001 | 엔비디아 | TSMC | 150 nm | ? | ? | [208][221] |
| NV20 | 57,000,000 | 2001 | 엔비디아 | TSMC | 150 nm | 128 mm2 | 445,000 | [209] |
| NV25 | 63,000,000 | 2002 | 엔비디아 | TSMC | 150 nm | 142 mm2 | 444,000 | |
| NV28 | 36,000,000 | 2002 | 엔비디아 | TSMC | 150 nm | 101 mm2 | 356,000 | |
| NV17/18 | 29,000,000 | 2002 | 엔비디아 | TSMC | 150 nm | 65 mm2 | 446,000 | |
| R200 | 60,000,000 | 2001 | ATI | TSMC | 150 nm | 68 mm2 | 882,000 | |
| R300 | 107,000,000 | 2002 | ATI | TSMC | 150 nm | 218 mm2 | 490,800 | |
| R360 | 117,000,000 | 2003 | ATI | TSMC | 150 nm | 218 mm2 | 536,700 | |
| NV34 | 45,000,000 | 2003 | 엔비디아 | TSMC | 150 nm | 124 mm2 | 363,000 | |
| NV34b | 45,000,000 | 2004 | 엔비디아 | TSMC | 140 nm | 91 mm2 | 495,000 | |
| NV30 | 125,000,000 | 2003 | 엔비디아 | TSMC | 130 nm | 199 mm2 | 628,000 | |
| NV31 | 80,000,000 | 2003 | 엔비디아 | TSMC | 130 nm | 121 mm2 | 661,000 | |
| NV35/38 | 135,000,000 | 2003 | 엔비디아 | TSMC | 130 nm | 207 mm2 | 652,000 | |
| NV36 | 82,000,000 | 2003 | 엔비디아 | IBM | 130 nm | 133 mm2 | 617,000 | |
| R480 | 160,000,000 | 2004 | ATI | TSMC | 130 nm | 297 mm2 | 538,700 | |
| NV40 | 222,000,000 | 2004 | 엔비디아 | IBM | 130 nm | 305 mm2 | 727,900 | |
| NV44 | 75,000,000 | 2004 | 엔비디아 | IBM | 130 nm | 110 mm2 | 681,800 | |
| NV41 | 222,000,000 | 2005 | 엔비디아 | TSMC | 110 nm | 225 mm2 | 986,700 | [209] |
| NV42 | 198,000,000 | 2005 | 엔비디아 | TSMC | 110 nm | 222 mm2 | 891,900 | |
| NV43 | 146,000,000 | 2005 | 엔비디아 | TSMC | 110 nm | 154 mm2 | 948,100 | |
| G70 | 303,000,000 | 2005 | 엔비디아 | TSMC, 차터드 | 110 nm | 333 mm2 | 909,900 | |
| 제노스 | 232,000,000 | 2005 | ATI | TSMC | 90 nm | 182 mm2 | 1,275,000 | [222][223] |
| RSX 리얼리티 신시사이저 | 300,000,000 | 2005 | 엔비디아, 소니 | 소니 | 90 nm | 186 mm2 | 1,613,000 | [224][225] |
| R520 | 321,000,000 | 2005 | ATI | TSMC | 90 nm | 288 mm2 | 1,115,000 | [209] |
| RV530 | 157,000,000 | 2005 | ATI | TSMC | 90 nm | 150 mm2 | 1,047,000 | |
| RV515 | 107,000,000 | 2005 | ATI | TSMC | 90 nm | 100 mm2 | 1,070,000 | |
| R580 | 384,000,000 | 2006 | ATI | TSMC | 90 nm | 352 mm2 | 1,091,000 | |
| G71 | 278,000,000 | 2006 | 엔비디아 | TSMC | 90 nm | 196 mm2 | 1,418,000 | |
| G72 | 112,000,000 | 2006 | 엔비디아 | TSMC | 90 nm | 81 mm2 | 1,383,000 | |
| G73 | 177,000,000 | 2006 | 엔비디아 | TSMC | 90 nm | 125 mm2 | 1,416,000 | |
| G80 | 681,000,000 | 2006 | 엔비디아 | TSMC | 90 nm | 480 mm2 | 1,419,000 | |
| G86 테슬라 | 210,000,000 | 2007 | 엔비디아 | TSMC | 80 nm | 127 mm2 | 1,654,000 | |
| G84 테슬라 | 289,000,000 | 2007 | 엔비디아 | TSMC | 80 nm | 169 mm2 | 1,710,000 | |
| RV560 | 330,000,000 | 2006 | ATI | TSMC | 80 nm | 230 mm2 | 1,435,000 | |
| R600 | 700,000,000 | 2007 | ATI | TSMC | 80 nm | 420 mm2 | 1,667,000 | |
| RV610 | 180,000,000 | 2007 | ATI | TSMC | 65 nm | 85 mm2 | 2,118,000 | [209] |
| RV630 | 390,000,000 | 2007 | ATI | TSMC | 65 nm | 153 mm2 | 2,549,000 | |
| G92 | 754,000,000 | 2007 | 엔비디아 | TSMC, UMC | 65 nm | 324 mm2 | 2,327,000 | |
| G94 테슬라 | 505,000,000 | 2008 | 엔비디아 | TSMC | 65 nm | 240 mm2 | 2,104,000 | |
| G96 테슬라 | 314,000,000 | 2008 | 엔비디아 | TSMC | 65 nm | 144 mm2 | 2,181,000 | |
| G98 테슬라 | 210,000,000 | 2008 | 엔비디아 | TSMC | 65 nm | 86 mm2 | 2,442,000 | |
| GT200[226] | 1,400,000,000 | 2008 | 엔비디아 | TSMC | 65 nm | 576 mm2 | 2,431,000 | |
| RV620 | 181,000,000 | 2008 | ATI | TSMC | 55 nm | 67 mm2 | 2,701,000 | [209] |
| RV635 | 378,000,000 | 2008 | ATI | TSMC | 55 nm | 135 mm2 | 2,800,000 | |
| RV710 | 242,000,000 | 2008 | ATI | TSMC | 55 nm | 73 mm2 | 3,315,000 | |
| RV730 | 514,000,000 | 2008 | ATI | TSMC | 55 nm | 146 mm2 | 3,521,000 | |
| RV670 | 666,000,000 | 2008 | ATI | TSMC | 55 nm | 192 mm2 | 3,469,000 | |
| RV770 | 956,000,000 | 2008 | ATI | TSMC | 55 nm | 256 mm2 | 3,734,000 | |
| RV790 | 959,000,000 | 2008 | ATI | TSMC | 55 nm | 282 mm2 | 3,401,000 | [227][209] |
| G92b 테슬라 | 754,000,000 | 2008 | 엔비디아 | TSMC, UMC | 55 nm | 260 mm2 | 2,900,000 | [209] |
| G94b 테슬라 | 505,000,000 | 2008 | 엔비디아 | TSMC, UMC | 55 nm | 196 mm2 | 2,577,000 | |
| G96b 테슬라 | 314,000,000 | 2008 | 엔비디아 | TSMC, UMC | 55 nm | 121 mm2 | 2,595,000 | |
| GT200b 테슬라 | 1,400,000,000 | 2008 | 엔비디아 | TSMC, UMC | 55 nm | 470 mm2 | 2,979,000 | |
| GT218 테슬라 | 260,000,000 | 2009 | 엔비디아 | TSMC | 40 nm | 57 mm2 | 4,561,000 | [209] |
| GT216 테슬라 | 486,000,000 | 2009 | 엔비디아 | TSMC | 40 nm | 100 mm2 | 4,860,000 | |
| GT215 테슬라 | 727,000,000 | 2009 | 엔비디아 | TSMC | 40 nm | 144 mm2 | 5,049,000 | |
| RV740 | 826,000,000 | 2009 | ATI | TSMC | 40 nm | 137 mm2 | 6,029,000 | |
| 사이프러스 RV870 | 2,154,000,000 | 2009 | ATI | TSMC | 40 nm | 334 mm2 | 6,449,000 | |
| 주니퍼 RV840 | 1,040,000,000 | 2009 | ATI | TSMC | 40 nm | 166 mm2 | 6,265,000 | |
| 레드우드 RV830 | 627,000,000 | 2010 | AMD (ATI) | TSMC | 40 nm | 104 mm2 | 6,029,000 | [209] |
| 시더 RV810 | 292,000,000 | 2010 | AMD | TSMC | 40 nm | 59 mm2 | 4,949,000 | |
| 케이먼 RV970 | 2,640,000,000 | 2010 | AMD | TSMC | 40 nm | 389 mm2 | 6,789,000 | |
| 바르츠 RV940 | 1,700,000,000 | 2010 | AMD | TSMC | 40 nm | 255 mm2 | 6,667,000 | |
| 터크스 RV930 | 716,000,000 | 2011 | AMD | TSMC | 40 nm | 118 mm2 | 6,068,000 | |
| 카이코스 RV910 | 370,000,000 | 2011 | AMD | TSMC | 40 nm | 67 mm2 | 5,522,000 | |
| GF100 페르미 | 3,200,000,000 | 2010 | 엔비디아 | TSMC | 40 nm | 526 mm2 | 6,084,000 | [228] |
| GF110 페르미 | 3,000,000,000 | 2010 | 엔비디아 | TSMC | 40 nm | 520 mm2 | 5,769,000 | [228] |
| GF104 페르미 | 1,950,000,000 | 2011 | 엔비디아 | TSMC | 40 nm | 332 mm2 | 5,873,000 | [209] |
| GF106 페르미 | 1,170,000,000 | 2010 | 엔비디아 | TSMC | 40 nm | 238 mm2 | 4,916,000 | [209] |
| GF108 페르미 | 585,000,000 | 2011 | 엔비디아 | TSMC | 40 nm | 116 mm2 | 5,043,000 | [209] |
| GF119 페르미 | 292,000,000 | 2011 | 엔비디아 | TSMC | 40 nm | 79 mm2 | 3,696,000 | [209] |
| 타히티 GCN1 | 4,312,711,873 | 2011 | AMD | TSMC | 28 nm | 365 mm2 | 11,820,000 | [229] |
| 케이프 베르데 GCN1 | 1,500,000,000 | 2012 | AMD | TSMC | 28 nm | 123 mm2 | 12,200,000 | [209] |
| 피트케언 GCN1 | 2,800,000,000 | 2012 | AMD | TSMC | 28 nm | 212 mm2 | 13,210,000 | [209] |
| GK110 케플러 | 7,080,000,000 | 2012 | 엔비디아 | TSMC | 28 nm | 561 mm2 | 12,620,000 | [230][231] |
| GK104 케플러 | 3,540,000,000 | 2012 | 엔비디아 | TSMC | 28 nm | 294 mm2 | 12,040,000 | [232] |
| GK106 케플러 | 2,540,000,000 | 2012 | 엔비디아 | TSMC | 28 nm | 221 mm2 | 11,490,000 | [209] |
| GK107 케플러 | 1,270,000,000 | 2012 | 엔비디아 | TSMC | 28 nm | 118 mm2 | 10,760,000 | [209] |
| GK208 케플러 | 1,020,000,000 | 2013 | 엔비디아 | TSMC | 28 nm | 79 mm2 | 12,910,000 | [209] |
| 올랜드 GCN1 | 1,040,000,000 | 2013 | AMD | TSMC | 28 nm | 90 mm2 | 11,560,000 | [209] |
| 보네르 GCN2 | 2,080,000,000 | 2013 | AMD | TSMC | 28 nm | 160 mm2 | 13,000,000 | |
| 듀랑고 (엑스박스 원) | 4,800,000,000 | 2013 | AMD | TSMC | 28 nm | 375 mm2 | 12,800,000 | [233][234] |
| 리버풀 (플레이스테이션 4) | ? | 2013 | AMD | TSMC | 28 nm | 348 mm2 | ? | [235] |
| 하와이 GCN2 | 6,300,000,000 | 2013 | AMD | TSMC | 28 nm | 438 mm2 | 14,380,000 | [209] |
| GM200 맥스웰 | 8,000,000,000 | 2015 | 엔비디아 | TSMC | 28 nm | 601 mm2 | 13,310,000 | |
| GM204 맥스웰 | 5,200,000,000 | 2014 | 엔비디아 | TSMC | 28 nm | 398 mm2 | 13,070,000 | |
| GM206 맥스웰 | 2,940,000,000 | 2014 | 엔비디아 | TSMC | 28 nm | 228 mm2 | 12,890,000 | |
| GM107 맥스웰 | 1,870,000,000 | 2014 | 엔비디아 | TSMC | 28 nm | 148 mm2 | 12,640,000 | |
| 통가 GCN3 | 5,000,000,000 | 2014 | AMD | TSMC, 글로벌파운더리스 | 28 nm | 366 mm2 | 13,660,000 | |
| 피지 GCN3 | 8,900,000,000 | 2015 | AMD | TSMC | 28 nm | 596 mm2 | 14,930,000 | |
| 듀랑고 2 (엑스박스 원 S) | 5,000,000,000 | 2016 | AMD | TSMC | 16 nm | 240 mm2 | 20,830,000 | [236] |
| 네오 (플레이스테이션 4 프로) | 5,700,000,000 | 2016 | AMD | TSMC | 16 nm | 325 mm2 | 17,540,000 | [237] |
| 엘레스미어/폴라리스 10 GCN4 | 5,700,000,000 | 2016 | AMD | 삼성, 글로벌파운더리스 | 14 nm | 232 mm2 | 24,570,000 | [238] |
| 바핀/폴라리스 11 GCN4 | 3,000,000,000 | 2016 | AMD | 삼성, 글로벌파운더리스 | 14 nm | 123 mm2 | 24,390,000 | [209][239] |
| 렉사/폴라리스 12 GCN4 | 2,200,000,000 | 2017 | AMD | 삼성, 글로벌파운더리스 | 14 nm | 101 mm2 | 21,780,000 | [209][239] |
| GP100 파스칼 | 15,300,000,000 | 2016 | 엔비디아 | TSMC, 삼성 | 16 nm | 610 mm2 | 25,080,000 | [240][241] |
| GP102 파스칼 | 11,800,000,000 | 2016 | 엔비디아 | TSMC, 삼성 | 16 nm | 471 mm2 | 25,050,000 | [209][241] |
| GP104 파스칼 | 7,200,000,000 | 2016 | 엔비디아 | TSMC | 16 nm | 314 mm2 | 22,930,000 | [209][241] |
| GP106 파스칼 | 4,400,000,000 | 2016 | 엔비디아 | TSMC | 16 nm | 200 mm2 | 22,000,000 | [209][241] |
| GP107 파스칼 | 3,300,000,000 | 2016 | 엔비디아 | 삼성 | 14 nm | 132 mm2 | 25,000,000 | [209][241] |
| GP108 파스칼 | 1,850,000,000 | 2017 | 엔비디아 | 삼성 | 14 nm | 74 mm2 | 25,000,000 | [209][241] |
| 스콜피오 (엑스박스 원 X) | 6,600,000,000 | 2017 | AMD | TSMC | 16 nm | 367 mm2 | 17,980,000 | [233][242] |
| 베가 10 GCN5 | 12,500,000,000 | 2017 | AMD | 삼성, 글로벌파운더리스 | 14 nm | 484 mm2 | 25,830,000 | [243] |
| GV100 볼타 | 21,100,000,000 | 2017 | 엔비디아 | TSMC | 12 nm | 815 mm2 | 25,890,000 | [244] |
| TU102 튜링 | 18,600,000,000 | 2018 | 엔비디아 | TSMC | 12 nm | 754 mm2 | 24,670,000 | [245] |
| TU104 튜링 | 13,600,000,000 | 2018 | 엔비디아 | TSMC | 12 nm | 545 mm2 | 24,950,000 | |
| TU106 튜링 | 10,800,000,000 | 2018 | 엔비디아 | TSMC | 12 nm | 445 mm2 | 24,270,000 | |
| TU116 튜링 | 6,600,000,000 | 2019 | 엔비디아 | TSMC | 12 nm | 284 mm2 | 23,240,000 | [246] |
| TU117 튜링 | 4,700,000,000 | 2019 | 엔비디아 | TSMC | 12 nm | 200 mm2 | 23,500,000 | [247] |
| 베가 20 GCN5 | 13,230,000,000 | 2018 | AMD | TSMC | 7 nm | 331 mm2 | 39,970,000 | [209] |
| 나비 10 RDNA | 10,300,000,000 | 2019 | AMD | TSMC | 7 nm | 251 mm2 | 41,040,000 | [248] |
| 나비 12 RDNA | ? | 2020 | AMD | TSMC | 7 nm | ? | ? | |
| 나비 14 RDNA | 6,400,000,000 | 2019 | AMD | TSMC | 7 nm | 158 mm2 | 40,510,000 | [249] |
| 아크투루스 CDNA | 25,600,000,000 | 2020 | AMD | TSMC | 7 nm | 750 mm2 | 34,100,000 | [250] |
| GA100 암페어 | 54,200,000,000 | 2020 | 엔비디아 | TSMC | 7 nm | 826 mm2 | 65,620,000 | [251][252] |
| GA102 암페어 | 28,300,000,000 | 2020 | 엔비디아 | 삼성그룹 | 8 nm | 628 mm2 | 45,035,000 | [253][254] |
| GA103 암페어 | 22,000,000,000 | 2022 | 엔비디아 | 삼성그룹 | 8 nm | 496 mm2 | 44,400,000 | [255] |
| GA104 암페어 | 17,400,000,000 | 2020 | 엔비디아 | 삼성그룹 | 8 nm | 392 mm2 | 44,390,000 | [256] |
| GA106 암페어 | 12,000,000,000 | 2021 | 엔비디아 | 삼성그룹 | 8 nm | 276 mm2 | 43,480,000 | [257] |
| GA107 암페어 | 8,700,000,000 | 2021 | 엔비디아 | 삼성그룹 | 8 nm | 200 mm2 | 43,500,000 | [258] |
| 나비 21 RDNA2 | 26,800,000,000 | 2020 | AMD | TSMC | 7 nm | 520 mm2 | 51,540,000 | |
| 나비 22 RDNA2 | 17,200,000,000 | 2021 | AMD | TSMC | 7 nm | 335 mm2 | 51,340,000 | |
| 나비 23 RDNA2 | 11,060,000,000 | 2021 | AMD | TSMC | 7 nm | 237 mm2 | 46,670,000 | |
| 나비 24 RDNA2 | 5,400,000,000 | 2022 | AMD | TSMC | 6 nm | 107 mm2 | 50,470,000 | |
| 알데바란 CDNA2 | 58,200,000,000 (MCM) | 2021 | AMD | TSMC | 6 nm | 1448–1474 mm2[259] 1480 mm2[260] 1490–1580 mm2[261] |
39,500,000–40,200,000 39,200,000 36,800,000–39,100,000 |
[262] |
| GH100 호퍼 | 80,000,000,000 | 2022 | 엔비디아 | TSMC | 4 nm | 814 mm2 | 98,280,000 | [263] |
| AD102 에이다 러브레이스 | 76,300,000,000 | 2022 | 엔비디아 | TSMC | 4 nm | 608.4 mm2 | 125,411,000 | [264] |
| AD103 에이다 러브레이스 | 45,900,000,000 | 2022 | 엔비디아 | TSMC | 4 nm | 378.6 mm2 | 121,240,000 | [265] |
| AD104 에이다 러브레이스 | 35,800,000,000 | 2022 | 엔비디아 | TSMC | 4 nm | 294.5 mm2 | 121,560,000 | [265] |
| AD106 에이다 러브레이스 | ? | 2023 | 엔비디아 | TSMC | 4 nm | 190 mm2 | ? | [266][267] |
| AD107 에이다 러브레이스 | ? | 2023 | 엔비디아 | TSMC | 4 nm | 146 mm2 | ? | [266][268] |
| 나비 31 RDNA3 | 57,700,000,000 (MCM) 45,400,000,000 (GCD) 6×2,050,000,000 (MCD) |
2022 | AMD | TSMC | 5 nm (GCD) 6 nm (MCD) |
531 mm2 (MCM) 306 mm2 (GCD) 6×37.5 mm2 (MCD) |
109,200,000 (MCM) 132,400,000 (GCD) 54,640,000 (MCD) |
[269][270][271] |
| 나비 32 RDNA3 | 28,100,000,000 (MCM) | 2023 | AMD | TSMC | 5 nm (GCD) 6 nm (MCD) |
350 mm2 (MCM) 200 mm2 (GCD) 4×37.5 mm2 (MCD) |
80,200,000 (MCM) | [272] |
| 나비 33 RDNA3 | 13,300,000,000 | 2023 | AMD | TSMC | 6 nm | 204 mm2 | 65,200,000 | [273] |
| 아쿠아 반자람 CDNA3 | 153,000,000,000 (MCM) | 2023 | AMD | TSMC | 5 nm (GCD) 6 nm (MCD) |
? | ? | [274][275] |
| GB200 그레이스 블랙웰 | 208,000,000,000 (MCM) | 2024 | 엔비디아 | TSMC | 4 nm | ? | ? | [276] |
| GB202 블랙웰 | 92,200,000,000 | 2025 | 엔비디아 | TSMC | 4 nm | 750 mm2 | 122,600,000 | [277] |
| GB203 블랙웰 | 45,600,000,000 | 2025 | 엔비디아 | TSMC | 4 nm | 378 mm2 | 120,600,000 | [278] |
| GB205 블랙웰 | 31,100,000,000 | 2025 | 엔비디아 | TSMC | 4 nm | 263 mm2 | 118,300,000 | [279] |
| GB206 블랙웰 | 21,900,000,000 | 2025 | 엔비디아 | TSMC | 4 nm | 181 mm2 | 121,000,000 | [280] |
| GB207 블랙웰 | 16,900,000,000 | 2025 | 엔비디아 | TSMC | 4 nm | 149 mm2 | 113,400,000 | [281] |
| 나비 44 RDNA4 | 29,700,000,000 | 2025 | AMD | TSMC | 4 nm | 199 mm2 | 149,200,000 | [282] |
| 나비 48 RDNA4 | 53,900,000,000 | 2025 | AMD | TSMC | 4 nm | 357 mm2 | 151,000,000 | [283] |
| 프로세서 | 트랜지스터 개수 | 연도 | 설계자 | 제조사 | MOS 공정 | 면적 | 트랜지스터 밀도 (tr./mm2) |
Ref |
FPGA
[편집]FPGA는 제조 후 고객 또는 설계자가 구성하도록 설계된 집적 회로이다.
| FPGA | 트랜지스터 개수 | 출시일 | 설계자 | 제조사 | 공정 | 면적 | 트랜지스터 밀도, tr./mm2 | Ref |
|---|---|---|---|---|---|---|---|---|
| 버텍스 | 70,000,000 | 1997 | 자일링스 | |||||
| 버텍스-E | 200,000,000 | 1998 | 자일링스 | |||||
| 버텍스-II | 350,000,000 | 2000 | 자일링스 | 130 nm | ||||
| 버텍스-II 프로 | 430,000,000 | 2002 | 자일링스 | |||||
| 버텍스-4 | 1,000,000,000 | 2004 | 자일링스 | 90 nm | ||||
| 버텍스-5 | 1,100,000,000 | 2006 | 자일링스 | TSMC | 65 nm | [284] | ||
| 스트라틱스 IV | 2,500,000,000 | 2008 | 알테라 | TSMC | 40 nm | [285] | ||
| 스트라틱스 V | 3,800,000,000 | 2011 | 알테라 | TSMC | 28 nm | |||
| 아리아 10 | 5,300,000,000 | 2014 | 알테라 | TSMC | 20 nm | [286] | ||
| 버텍스-7 2000T | 6,800,000,000 | 2011 | 자일링스 | TSMC | 28 nm | [287] | ||
| 스트라틱스 10 SX 2800 | 17,000,000,000 | TBD | 인텔 | 인텔 | 14 nm | 560 mm2 | 30,400,000 | [288][289] |
| 버텍스-울트라스케일 VU440 | 20,000,000,000 | 2015년 1분기 | 자일링스 | TSMC | 20 nm | [290][291] | ||
| 버텍스-울트라스케일+ VU19P | 35,000,000,000 | 2020 | 자일링스 | TSMC | 16 nm | 900 mm2[h] | 38,900,000 | [292][293][294] |
| 버설 VC1902 | 37,000,000,000 | 2019년 하반기 | 자일링스 | TSMC | 7 nm | [295][296][297] | ||
| 스트라틱스 10 GX 10M | 43,300,000,000 | 2019년 4분기 | 인텔 | 인텔 | 14 nm | 1,400 mm2[h] | 30,930,000 | [298][299] |
| 버설 VP1802 | 92,000,000,000 | 2021 ?[i] | 자일링스 | TSMC | 7 nm | [300][301] |
메모리
[편집]반도체 메모리는 일반적으로 컴퓨터 메모리로 사용되는 집적 회로에 구현된 전자 데이터 저장 장치이다. 1970년대 이후 거의 모든 반도체 메모리는 접합형 트랜지스터를 대체하여 MOSFET(MOS 트랜지스터)을 사용했다. 반도체 메모리에는 크게 두 가지 유형이 있다. 랜덤 액세스 메모리(RAM)와 비휘발성 메모리(NVM)가 있다. RAM 유형에는 동적 램(DRAM)과 정적 램(SRAM)이 있으며, NVM 유형에는 플래시 메모리와 고정 기억 장치(ROM)가 있다.
일반적인 CMOS SRAM은 셀당 6개의 트랜지스터로 구성된다. DRAM의 경우 1T1C, 즉 하나의 트랜지스터와 하나의 커패시터 구조가 일반적이다. 충전 여부에 따라 1 또는 0을 저장하는 데 사용된다. 플래시 메모리에서는 데이터가 플로팅 게이트에 저장되며, 트랜지스터의 저항을 감지하여 저장된 데이터를 해석한다. 저항을 얼마나 미세하게 분리할 수 있는지에 따라 하나의 트랜지스터는 최대 3비트를 저장할 수 있으며, 이는 트랜지스터당 8개의 고유한 저항 수준이 가능하다는 의미이다. 그러나 미세한 규모는 반복성 문제와 그로 인한 신뢰성 문제를 수반한다. 일반적으로 낮은 등급의 2비트 MLC 플래시는 플래시 드라이브에 사용되므로 16 GB 플래시 드라이브에는 약 640억 개의 트랜지스터가 포함된다.
SRAM 칩의 경우 6개 트랜지스터 셀(비트당 6개 트랜지스터)이 표준이었다.[302] 1970년대 초 DRAM 칩은 3개 트랜지스터 셀(비트당 3개 트랜지스터)을 사용했지만, 1970년대 중반 4Kb DRAM 시대부터 단일 트랜지스터 셀(비트당 1개 트랜지스터)이 표준이 되었다.[303][304] 단일 레벨 플래시 메모리에서는 각 셀에 하나의 플로팅 게이트 MOSFET(비트당 하나의 트랜지스터)이 포함되어 있으며,[305] 반면 멀티 레벨 플래시에는 트랜지스터당 2, 3 또는 4비트가 포함된다.
플래시 메모리 칩은 일반적으로 최대 128층으로 적층되어 생산되며,[306] 136층은 관리되고,[307] 최종 사용자 장치에는 제조업체로부터 최대 69층까지 제공된다.
| 칩 이름 | 용량 (비트) | RAM 유형 | 트랜지스터 개수 | 출시일 | 제조사 | 공정 | 면적 | 트랜지스터 밀도 (tr./mm2) |
Ref |
|---|---|---|---|---|---|---|---|---|---|
| 빈칸 | 1비트 | SRAM (셀) | 6 | 1963 | 페어차일드 | 빈칸 | 빈칸 | ? | [308] |
| 빈칸 | 1비트 | DRAM (셀) | 1 | 1965 | 도시바 | 빈칸 | 빈칸 | ? | [309][310] |
| ? | 8비트 | SRAM (바이폴라) | 48 | 1965 | SDS, 시그네틱스 | ? | ? | ? | [308] |
| SP95 | 16비트 | SRAM (바이폴라) | 80 | 1965 | IBM | ? | ? | ? | [311] |
| TMC3162 | 16비트 | SRAM (TTL) | 96 | 1966 | Transitron | 빈칸 | ? | ? | [304] |
| ? | ? | SRAM (MOS) | ? | 1966 | NEC | ? | ? | ? | [303] |
| 256비트 | DRAM (IC) | 256 | 1968 | 페어차일드 | ? | ? | ? | [304] | |
| 64비트 | SRAM (PMOS) | 384 | 1968 | 페어차일드 | ? | ? | ? | [303] | |
| 144비트 | SRAM (NMOS) | 864 | 1968 | NEC | |||||
| 1101 | 256비트 | SRAM (PMOS) | 1,536 | 1969 | 인텔 | 12,000 nm | ? | ? | [312][313][314] |
| 1102 | 1 Kb | DRAM (PMOS) | 3,072 | 1970 | 인텔, 허니웰 | ? | ? | ? | [303] |
| 1103 | 1 Kb | DRAM (PMOS) | 3,072 | 1970 | 인텔 | 8,000 nm | 10 mm2 | 307 | [315][302][316][304] |
| μPD403 | 1 Kb | DRAM (NMOS) | 3,072 | 1971 | NEC | ? | ? | ? | [317] |
| ? | 2 Kb | DRAM (PMOS) | 6,144 | 1971 | 제너럴 인스트루먼트 | ? | 12.7 mm2 | 484 | [318] |
| 2102 | 1 Kb | SRAM (NMOS) | 6,144 | 1972 | 인텔 | ? | ? | ? | [312][319] |
| ? | 8 Kb | DRAM (PMOS) | 8,192 | 1973 | IBM | ? | 18.8 mm2 | 436 | [318] |
| 5101 | 1 Kb | SRAM (CMOS) | 6,144 | 1974 | 인텔 | ? | ? | ? | [312] |
| 2116 | 16 Kb | DRAM (NMOS) | 16,384 | 1975 | 인텔 | ? | ? | ? | [320][304] |
| 2114 | 4 Kb | SRAM (NMOS) | 24,576 | 1976 | 인텔 | ? | ? | ? | [312][321] |
| ? | 4 Kb | SRAM (CMOS) | 24,576 | 1977 | 도시바 | ? | ? | ? | [313] |
| 64 Kb | DRAM (NMOS) | 65,536 | 1977 | NTT | ? | 35.4 mm2 | 1851 | [318] | |
| DRAM (VMOS) | 65,536 | 1979 | 지멘스 | ? | 25.2 mm2 | 2601 | [318] | ||
| 16 Kb | SRAM (CMOS) | 98,304 | 1980 | 히타치, 도시바 | ? | ? | ? | [322] | |
| 256 Kb | DRAM (NMOS) | 262,144 | 1980 | NEC | 1,500 nm | 41.6 mm2 | 6302 | [318] | |
| NTT | 1,000 nm | 34.4 mm2 | 7620 | [318] | |||||
| 64 Kb | SRAM (CMOS) | 393,216 | 1980 | 마쓰시타 | ? | ? | ? | [322] | |
| 288 Kb | DRAM | 294,912 | 1981 | IBM | ? | 25 mm2 | 11,800 | [323] | |
| 64 Kb | SRAM (NMOS) | 393,216 | 1982 | 인텔 | 1,500 nm | ? | ? | [322] | |
| 256 Kb | SRAM (CMOS) | 1,572,864 | 1984 | 도시바 | 1,200 nm | ? | ? | [322][314] | |
| 8 Mb | DRAM | 8,388,608 | 1984년 1월 5일 | 히타치 | ? | ? | ? | [324][325] | |
| 16 Mb | DRAM (CMOS) | 16,777,216 | 1987 | NTT | 700 nm | 148 mm2 | 113,400 | [318] | |
| 4 Mb | SRAM (CMOS) | 25,165,824 | 1990 | NEC, 도시바, 히타치, 미쓰비시 | ? | ? | ? | [322] | |
| 64 Mb | DRAM (CMOS) | 67,108,864 | 1991 | 마쓰시타, 미쓰비시, 후지쯔, 도시바 | 400 nm | ||||
| KM48SL2000 | 16 Mb | SDRAM | 16,777,216 | 1992 | 삼성 | ? | ? | ? | [326][327] |
| ? | 16 Mb | SRAM (CMOS) | 100,663,296 | 1992 | 후지쯔, NEC | 400 nm | ? | ? | [322] |
| 256 Mb | DRAM (CMOS) | 268,435,456 | 1993 | 히타치, NEC | 250 nm | ||||
| 1 Gb | DRAM | 1,073,741,824 | 1995년 1월 9일 | NEC | 250 nm | ? | ? | [328][329] | |
| 히타치 | 160 nm | ? | ? | ||||||
| SDRAM | 1,073,741,824 | 1996 | 미쓰비시 | 150 nm | ? | ? | [322] | ||
| SDRAM (SOI) | 1,073,741,824 | 1997 | 현대 | ? | ? | ? | [330] | ||
| 4 Gb | DRAM (4비트) | 1,073,741,824 | 1997 | NEC | 150 nm | ? | ? | [322] | |
| DRAM | 4,294,967,296 | 1998 | 현대 | ? | ? | ? | [330] | ||
| 8 Gb | SDRAM (DDR3) | 8,589,934,592 | 2008년 4월 | 삼성그룹 | 50 nm | ? | ? | [331] | |
| 16 Gb | SDRAM (DDR3) | 17,179,869,184 | 2008 | ||||||
| 32 Gb | SDRAM (HBM2) | 34,359,738,368 | 2016 | 삼성그룹 | 20 nm | ? | ? | [332] | |
| 64 Gb | SDRAM (HBM2) | 68,719,476,736 | 2017 | ||||||
| 128 Gb | SDRAM (DDR4) | 137,438,953,472 | 2018 | 삼성그룹 | 10 nm | ? | ? | [333] | |
| ? | RRAM[334] (3DSoC)[335] | ? | 2019 | SkyWater Technology[336] | 90 nm | ? | ? |
| 칩 이름 | 용량 (비트) | 플래시 유형 | FGMOS 트랜지스터 개수 | 출시일 | 제조사 | 공정 | 면적 | 트랜지스터 밀도 (tr./mm2) |
Ref |
|---|---|---|---|---|---|---|---|---|---|
| ? | 256 Kb | NOR | 262,144 | 1985 | 도시바 | 2,000 nm | ? | ? | [322] |
| 1 Mb | NOR | 1,048,576 | 1989 | Seeq, 인텔 | ? | ||||
| 4 Mb | NAND | 4,194,304 | 1989 | 도시바 | 1,000 nm | ||||
| 16 Mb | NOR | 16,777,216 | 1991 | 미쓰비시 | 600 nm | ||||
| DD28F032SA | 32 Mb | NOR | 33,554,432 | 1993 | 인텔 | ? | 280 mm2 | 120,000 | [312][337] |
| ? | 64 Mb | NOR | 67,108,864 | 1994 | NEC | 400 nm | ? | ? | [322] |
| NAND | 67,108,864 | 1996 | 히타치 | ||||||
| 128 Mb | NAND | 134,217,728 | 1996 | 삼성, 히타치 | ? | ||||
| 256 Mb | NAND | 268,435,456 | 1999 | 히타치, 도시바 | 250 nm | ||||
| 512 Mb | NAND | 536,870,912 | 2000 | 도시바 | ? | ? | ? | [338] | |
| 1 Gb | 2비트 NAND | 536,870,912 | 2001 | 삼성그룹 | ? | ? | ? | [322] | |
| 도시바, 샌디스크 | 160 nm | ? | ? | [339] | |||||
| 2 Gb | NAND | 2,147,483,648 | 2002 | 삼성그룹, 도시바 | ? | ? | ? | [340][341] | |
| 8 Gb | NAND | 8,589,934,592 | 2004 | 삼성그룹 | 60 nm | ? | ? | [340] | |
| 16 Gb | NAND | 17,179,869,184 | 2005 | 삼성그룹 | 50 nm | ? | ? | [342] | |
| 32 Gb | NAND | 34,359,738,368 | 2006 | 삼성그룹 | 40 nm | ||||
| THGAM | 128 Gb | 스택형 NAND | 128,000,000,000 | 2007년 4월 | 도시바 | 56 nm | 252 mm2 | 507,900,000 | [343] |
| THGBM | 256 Gb | 스택형 NAND | 256,000,000,000 | 2008 | 도시바 | 43 nm | 353 mm2 | 725,200,000 | [344] |
| THGBM2 | 1 Tb | 스택형 4비트 NAND | 256,000,000,000 | 2010 | 도시바 | 32 nm | 374 mm2 | 684,500,000 | [345] |
| KLMCG8GE4A | 512 Gb | 스택형 2비트 NAND | 256,000,000,000 | 2011 | 삼성그룹 | ? | 192 mm2 | 1,333,000,000 | [346] |
| KLUFG8R1EM | 4 Tb | 스택형 3비트 V-NAND | 1,365,333,333,504 | 2017 | 삼성그룹 | ? | 150 mm2 | 9,102,000,000 | [347] |
| eUFS (1 TB) | 8 Tb | 스택형 4비트 V-NAND | 2,048,000,000,000 | 2019 | 삼성그룹 | ? | 150 mm2 | 13,650,000,000 | [348][349] |
| ? | 1 Tb | 232L TLC NAND 다이 | 333,333,333,333 | 2022 | 마이크론 테크놀로지 | ? | 68.5 mm2 (메모리 어레이) |
4,870,000,000 (14.6 Gbit/mm2) |
[350][351][352][353] |
| ? | 16 Tb | 232L 패키지 | 5,333,333,333,333 | 2022 | 마이크론 테크놀로지 | ? | 68.5 mm2 (메모리 어레이) |
77,900,000,000 (16×14.6 Gbit/mm2) |
| 칩 이름 | 용량 (비트) | ROM 유형 | 트랜지스터 개수 | 출시일 | 제조사 | 공정 | 면적 | Ref |
|---|---|---|---|---|---|---|---|---|
| ? | ? | PROM | ? | 1956 | 아르마 | 빈칸 | ? | [354][355] |
| 1 Kb | ROM (MOS) | 1,024 | 1965 | General Microelectronics | ? | ? | [356] | |
| 3301 | 1 Kb | ROM (바이폴라) | 1,024 | 1969 | 인텔 | 빈칸 | ? | [356] |
| 1702 | 2 Kb | EPROM (MOS) | 2,048 | 1971 | 인텔 | ? | 15 mm2 | [357] |
| ? | 4 Kb | ROM (MOS) | 4,096 | 1974 | AMD, 제너럴 인스트루먼트 | ? | ? | [356] |
| 2708 | 8 Kb | EPROM (MOS) | 8,192 | 1975 | 인텔 | ? | ? | [312] |
| ? | 2 Kb | EEPROM (MOS) | 2,048 | 1976 | 도시바 | ? | ? | [358] |
| μCOM-43 ROM | 16 Kb | PROM (PMOS) | 16,000 | 1977 | NEC | ? | ? | [359] |
| 2716 | 16 Kb | EPROM (TTL) | 16,384 | 1977 | 인텔 | 빈칸 | ? | [315][360] |
| EA8316F | 16 Kb | ROM (NMOS) | 16,384 | 1978 | 일렉트로닉 어레이 | ? | 436 mm2 | [356][361] |
| 2732 | 32 Kb | EPROM | 32,768 | 1978 | 인텔 | ? | ? | [312] |
| 2364 | 64 Kb | ROM | 65,536 | 1978 | 인텔 | ? | ? | [362] |
| 2764 | 64 Kb | EPROM | 65,536 | 1981 | 인텔 | 3,500 nm | ? | [312][322] |
| 27128 | 128 Kb | EPROM | 131,072 | 1982 | 인텔 | ? | ||
| 27256 | 256 Kb | EPROM (HMOS) | 262,144 | 1983 | 인텔 | ? | ? | [312][363] |
| ? | 256 Kb | EPROM (CMOS) | 262,144 | 1983 | 후지쯔 | ? | ? | [364] |
| 512 Kb | EPROM (NMOS) | 524,288 | 1984 | AMD | 1,700 nm | ? | [322] | |
| 27512 | 512 Kb | EPROM (HMOS) | 524,288 | 1984 | 인텔 | ? | ? | [312][365] |
| ? | 1 Mb | EPROM (CMOS) | 1,048,576 | 1984 | NEC | 1,200 nm | ? | [322] |
| 4 Mb | EPROM (CMOS) | 4,194,304 | 1987 | 도시바 | 800 nm | |||
| 16 Mb | EPROM (CMOS) | 16,777,216 | 1990 | NEC | 600 nm | |||
| MROM | 16,777,216 | 1995 | AKM, 히타치 | ? | ? | [329] |
트랜지스터 컴퓨터
[편집]
트랜지스터가 발명되기 전에는 상업용 천공 카드 기계와 실험용 초기 컴퓨터에 전자계전기가 사용되었다. 세계 최초의 작동 가능한 프로그래밍 가능하고 완전 자동 디지털 컴퓨터인[366] 1941년 Z3 22-비트 워드 길이 컴퓨터는 2,600개의 릴레이를 가지고 있었고 약 4–5 Hz의 클럭 속도로 작동했다. 1940년 복소수 컴퓨터(Complex Number Computer, 나중에 Model 1로 개명)는 500개 미만의 릴레이를 가지고 있었지만,[367] 완전한 프로그래밍이 불가능했다. 초기 실용 컴퓨터는 진공관과 솔리드 스테이트 다이오드 논리를 사용했다. 에니악은 18,000개의 진공관, 7,200개의 크리스털 다이오드, 1,500개의 릴레이를 가지고 있었고, 많은 진공관에는 두 개의 3극 진공관 요소가 포함되어 있었다.
2세대 컴퓨터는 개별 트랜지스터, 솔리드 스테이트 다이오드 및 자기 메모리 코어로 채워진 보드를 특징으로 하는 트랜지스터 컴퓨터였다. 맨체스터 대학교에서 개발된 실험적인 1953년 48비트 트랜지스터 컴퓨터는 전 세계에서 처음으로 작동한 트랜지스터 컴퓨터로 널리 알려져 있다(프로토타입은 92개의 점 접촉 트랜지스터와 550개의 다이오드를 가지고 있었다).[368] 이후 1955년 버전은 총 250개의 접합형 트랜지스터와 1,300개의 점 접촉 다이오드를 사용했다. 이 컴퓨터는 또한 클럭 생성기에 소수의 진공관을 사용했기 때문에 최초의 완전 트랜지스터화된 컴퓨터는 아니었다. 일본전기시험소에서 1956년에 개발된 ETL Mark III는 프로그램 내장 방식을 사용한 최초의 트랜지스터 기반 전자 컴퓨터였을 수 있다. 이 컴퓨터는 "약 130개의 점 접촉 트랜지스터와 약 1,800개의 저마늄 다이오드가 논리 요소로 사용되었으며, 이들은 꽂고 뺄 수 있는 300개의 플러그인 패키지에 보관되었다."[369] 1958년 십진 아키텍처 IBM 7070은 완전한 프로그래밍이 가능한 최초의 트랜지스터 컴퓨터였다. 이 컴퓨터는 약 14,000개의 표준 모듈형 시스템(SMS) 카드에 약 30,000개의 합금 접합 저마늄 트랜지스터와 22,000개의 저마늄 다이오드를 가지고 있었다. 1959년 "MOBIle DIgital Computer"의 약자인 MOBIDIC은 12,000파운드(약 5,443kg)의 무게로 세미 트레일러 트럭의 트레일러에 장착되었으며, 전장 데이터용 트랜지스터 컴퓨터였다.
3세대 컴퓨터는 집적 회로(IC)를 사용했다.[370] 1962년 15비트 (패리티 포함 16비트) 아폴로 가이던스 컴퓨터는 약 12,000개의 트랜지스터와 32,000개의 저항을 위해 "약 4,000개의 '타입-G'(3입력 NOR 게이트) 회로"를 사용했다.[371] 1964년 출시된 IBM 시스템/360은 하이브리드 회로 팩에 개별 트랜지스터를 사용했다.[370] 1965년 12비트 PDP-8 CPU는 많은 카드에 1409개의 개별 트랜지스터와 10,000개 이상의 다이오드를 가지고 있었다. 1968년 PDP-8/I부터 시작된 이후 버전은 집적 회로를 사용했다. PDP-8은 나중에 마이크로프로세서인 인터실 6100으로 재구현되었다(아래 참조).[372]
다음 세대 컴퓨터는 1971년 인텔 4004를 시작으로 MOS 트랜지스터를 사용한 마이크로컴퓨터였다. 이들은 가정용 컴퓨터 또는 개인용 컴퓨터(PC)에 사용되었다.
이 목록에는 1950년대와 1960년대의 초기 트랜지스터 컴퓨터(2세대) 및 IC 기반 컴퓨터(3세대)가 포함된다.
| 컴퓨터 | 트랜지스터 개수 | 연도 | 제조사 | 비고 | Ref |
|---|---|---|---|---|---|
| 트랜지스터 컴퓨터 | 92 | 1953 | 맨체스터 대학교 | 점 접촉 트랜지스터, 550개의 다이오드. 프로그램 내장 기능이 없었다. | [368] |
| 트래딕 | 700 | 1954 | 벨 연구소 | 점 접촉 트랜지스터 | [368] |
| 트랜지스터 컴퓨터 (풀 사이즈) | 250 | 1955 | 맨체스터 대학교 | 개별 점 접촉 트랜지스터, 1,300개의 다이오드 | [368] |
| IBM 608 | 3,000 | 1955 | IBM | 저마늄 트랜지스터 | [373] |
| ETL Mark III | 130 | 1956 | 일본전기시험소 | 점 접촉 트랜지스터, 1,800개의 다이오드, 프로그램 내장 기능 | [368][369] |
| 메트로빅 950 | 200 | 1956 | 메트로폴리탄-비커스 | 개별 접합형 트랜지스터 | |
| NEC NEAC-2201 | 600 | 1958 | NEC | 저마늄 트랜지스터 | [374] |
| 히타치 MARS-1 | 1,000 | 1958 | 히타치 | [375] | |
| IBM 7070 | 30,000 | 1958 | IBM | 합금 접합 저마늄 트랜지스터, 22,000개의 다이오드 | [376] |
| 마쓰시타 MADIC-I | 400 | 1959 | 마쓰시타 | 바이폴라 트랜지스터 | [377] |
| NEC NEAC-2203 | 2,579 | 1959 | NEC | [378] | |
| 도시바 TOSBAC-2100 | 5,000 | 1959 | 도시바 | [379] | |
| IBM 7090 | 50,000 | 1959 | IBM | 개별 저마늄 트랜지스터 | [380] |
| PDP-1 | 2,700 | 1959 | 디지털 이큅먼트 코퍼레이션 | 개별 트랜지스터 | |
| 올리베티 일레아 9003 | ? | 1959 | 올리베티 | 300,000 (?) 개별 트랜지스터 및 다이오드 | [381] |
| 미쓰비시 MELCOM 1101 | 3,500 | 1960 | 미쓰비시 | 저마늄 트랜지스터 | [382] |
| M18 FADAC | 1,600 | 1960 | Autonetics | 개별 트랜지스터 | |
| IBM 7030 스트레치의 CPU | 169,100 | 1961 | IBM | 1961년부터 1964년까지 세계에서 가장 빠른 컴퓨터 | [383] |
| D-17B | 1,521 | 1962 | Autonetics | 개별 트랜지스터 | |
| NEC NEAC-L2 | 16,000 | 1964 | NEC | 저마늄 트랜지스터 | [384] |
| CDC 6600 (전체 컴퓨터) | 400,000 | 1964 | 컨트롤 데이터 코퍼레이션 | 1964년부터 1969년까지 세계에서 가장 빠른 컴퓨터 | [385] |
| IBM 시스템/360 | ? | 1964 | IBM | 하이브리드 회로 | |
| PDP-8 "스트레이트-8" | 1,409[372] | 1965 | 디지털 이큅먼트 코퍼레이션 | 개별 트랜지스터, 10,000개의 다이오드 | |
| PDP-8/S | 1,001[386][387][388] | 1966 | 디지털 이큅먼트 코퍼레이션 | 개별 트랜지스터, 다이오드 | |
| PDP-8/I | 1,409 | 1968[389] | 디지털 이큅먼트 코퍼레이션 | 74 시리즈 TTL 회로[390] | |
| 아폴로 가이던스 컴퓨터 블록 I | 12,300 | 1966 | 레이시온 / MIT 계측 연구소 | 4,100개의 IC, 각 IC는 3개의 트랜지스터와 3개의 입력 NOR 게이트를 포함한다. (블록 II는 2,800개의 듀얼 3입력 NOR 게이트 IC를 가졌다.) |
논리 함수
[편집]일반적인 논리 함수의 트랜지스터 개수는 정적 CMOS 구현을 기준으로 한다.[391]
| 함수 | 트랜지스터 개수 | Ref. |
|---|---|---|
| NOT | 2 | |
| 버퍼 | 4 | |
| NAND 2-입력 | 4 | |
| NOR 2-입력 | 4 | |
| AND 2-입력 | 6 | |
| OR 2-입력 | 6 | |
| NAND 3-입력 | 6 | |
| NOR 3-입력 | 6 | |
| XOR 2-입력 | 6 | |
| XNOR 2-입력 | 8 | |
| MUX 2-입력 TG 포함 | 6 | |
| MUX 4-입력 TG 포함 | 18 | |
| NOT MUX 2-입력 | 8 | |
| MUX 4-입력 | 24 | |
| 1비트 전가산기 | 24 | |
| 1비트 가산기-감산기 | 48 | |
| AND-OR-INVERT | 6 | [392] |
| 래치, D 게이트형 | 8 | |
| 플립플롭, 리셋 포함 에지 트리거 동적 D | 12 | |
| 8비트 곱셈기 | 3,000 | |
| 16비트 곱셈기 | 9,000 | |
| 32비트 곱셈기 | 21,000 | |
| 소규모 집적 | 2–100 | [393] |
| 중규모 집적 | 100–500 | [393] |
| 대규모 집적 | 500–20,000 | [393] |
| 초고밀도 집적 | 20,000–1,000,000 | [393] |
| 초대규모 집적 | >1,000,000 |
병렬 시스템
[편집]역사적으로 초기 병렬 시스템의 각 처리 요소는 —당시 모든 CPU와 마찬가지로— 여러 칩으로 구성된 직렬 컴퓨터였다. 칩당 트랜지스터 개수가 증가함에 따라 각 처리 요소는 더 적은 수의 칩으로 구성될 수 있었고, 나중에는 각 멀티 코어 칩에 더 많은 처리 요소가 포함될 수 있었다.[394]
굿이어 MPP: (1983?) 칩당 8개의 픽셀 프로세서, 칩당 3,000 ~ 8,000개의 트랜지스터.[394]
브루넬 대학교 스케이프 (단일 칩 어레이 처리 요소): (1983) 칩당 256개의 픽셀 프로세서, 칩당 120,000 ~ 140,000개의 트랜지스터.[394]
셀 브로드밴드 엔진: (2006) 칩당 9개의 코어를 가졌고, 칩당 2억 3,400만 개의 트랜지스터를 가졌다.[395]
기타 장치
[편집]| 장치 유형 | 장치 이름 | 트랜지스터 개수 | 출시일 | 설계자 | 제조사 | MOS 공정 | 면적 | 트랜지스터 밀도, tr./mm2 | Ref |
|---|---|---|---|---|---|---|---|---|---|
| 딥 러닝 엔진 / IPU[j] | 콜로서스 GC2 | 23,600,000,000 | 2018 | 그라프코어 | TSMC | 16 nm | ~800 mm2 | 29,500,000 | [396][397][398][더 나은 출처 필요] |
| 딥 러닝 엔진 / IPU | 웨이퍼 스케일 엔진 | 1,200,000,000,000 | 2019 | 세레브라스 | TSMC | 16 nm | 46,225 mm2 | 25,960,000 | [1][2][3][4] |
| 딥 러닝 엔진 / IPU | 웨이퍼 스케일 엔진 2 | 2,600,000,000,000 | 2020 | 세레브라스 | TSMC | 7 nm | 46,225 mm2 | 56,250,000 | [5][399][400] |
| 네트워크 스위치 | NV링크4 NVSwitch | 25,100,000,000 | 2022 | 엔비디아 | TSMC | N4 (4 nm) | 294 mm2 | 85,370,000 | [401] |
트랜지스터 밀도
[편집]트랜지스터 밀도는 단위 면적당 제조되는 트랜지스터의 수로, 일반적으로 제곱 밀리미터(mm2)당 트랜지스터 수로 측정된다. 트랜지스터 밀도는 일반적으로 게이트 길이에 비례하며, 반도체 공정(반도체 제조 공정이라고도 함)은 일반적으로 나노미터(nm) 단위로 측정된다. 2019년 10월 기준, 가장 높은 트랜지스터 밀도를 가진 반도체 공정은 TSMC의 5나노미터 공정으로, 제곱 밀리미터당 1억 7,130만 개의 트랜지스터를 가지고 있다(이는 트랜지스터 간 거리가 76.4 nm임을 의미하며, 상대적으로 무의미한 "5nm"보다 훨씬 크다).[402]
MOSFET 노드
[편집]| 노드 이름 | 트랜지스터 밀도 (트랜지스터/mm2) | 생산 연도 | 공정 | MOSFET | 제조사 | Ref |
|---|---|---|---|---|---|---|
| ? | ? | 1960 | 20,000 nm | PMOS | 벨 연구소 | [403][404] |
| ? | ? | 1960 | 20,000 nm | NMOS | ||
| ? | ? | 1963 | ? | CMOS | 페어차일드 | [405] |
| ? | ? | 1964 | ? | PMOS | General Microelectronics | [406] |
| ? | ? | 1968 | 20,000 nm | CMOS | RCA | [407] |
| ? | ? | 1969 | 12,000 nm | PMOS | 인텔 | [322][314] |
| ? | ? | 1970 | 10,000 nm | CMOS | RCA | [407] |
| ? | 300 | 1970 | 8,000 nm | PMOS | 인텔 | [316][304] |
| ? | ? | 1971 | 10,000 nm | PMOS | 인텔 | [408] |
| ? | 480 | 1971 | ? | PMOS | 제너럴 인스트루먼트 | [318] |
| ? | ? | 1973 | ? | NMOS | 텍사스 인스트루먼트 | [318] |
| ? | 220 | 1973 | ? | NMOS | Mostek | [318] |
| ? | ? | 1973 | 7,500 nm | NMOS | NEC | [18][17] |
| ? | ? | 1973 | 6,000 nm | PMOS | 도시바 | [19][409] |
| ? | ? | 1976 | 5,000 nm | NMOS | 히타치, 인텔 | [318] |
| ? | ? | 1976 | 5,000 nm | CMOS | RCA | |
| ? | ? | 1976 | 4,000 nm | NMOS | 자일로그 | |
| ? | ? | 1976 | 3,000 nm | NMOS | 인텔 | [410] |
| ? | 1,850 | 1977 | ? | NMOS | NTT | [318] |
| ? | ? | 1978 | 3,000 nm | CMOS | 히타치 | [411] |
| ? | ? | 1978 | 2,500 nm | NMOS | 텍사스 인스트루먼트 | [318] |
| ? | ? | 1978 | 2,000 nm | NMOS | NEC, NTT | |
| ? | 2,600 | 1979 | ? | VMOS | 지멘스 | |
| ? | 7,280 | 1979 | 1,000 nm | NMOS | NTT | |
| ? | 7,620 | 1980 | 1,000 nm | NMOS | NTT | |
| ? | ? | 1983 | 2,000 nm | CMOS | 도시바 | [322] |
| ? | ? | 1983 | 1,500 nm | CMOS | 인텔 | [318] |
| ? | ? | 1983 | 1,200 nm | CMOS | 인텔 | |
| ? | ? | 1984 | 800 nm | CMOS | NTT | |
| ? | ? | 1987 | 700 nm | CMOS | 후지쯔 | |
| ? | ? | 1989 | 600 nm | CMOS | 미쓰비시, NEC, 도시바 | [322] |
| ? | ? | 1989 | 500 nm | CMOS | 히타치, 미쓰비시, NEC, 도시바 | |
| ? | ? | 1991 | 400 nm | CMOS | 마쓰시타, 미쓰비시, 후지쯔, 도시바 | |
| ? | ? | 1993 | 350 nm | CMOS | 소니 | |
| ? | ? | 1993 | 250 nm | CMOS | 히타치, NEC | |
| 3LM | 32,000 | 1994 | 350 nm | CMOS | NEC | [206] |
| ? | ? | 1995 | 160 nm | CMOS | 히타치 | [322] |
| ? | ? | 1996 | 150 nm | CMOS | 미쓰비시 | |
| TSMC 180 nm | ? | 1998 | 180 nm | CMOS | TSMC | [412] |
| CS80 | ? | 1999 | 180 nm | CMOS | 후지쯔 | [413] |
| ? | ? | 1999 | 180 nm | CMOS | 인텔, 소니, 도시바 | [312][218] |
| CS85 | ? | 1999 | 170 nm | CMOS | 후지쯔 | [414] |
| 삼성 140 nm | ? | 1999 | 140 nm | CMOS | 삼성 | [322] |
| ? | ? | 2001 | 130 nm | CMOS | 후지쯔, 인텔 | [413][312] |
| 삼성 100 nm | ? | 2001 | 100 nm | CMOS | 삼성그룹 | [322] |
| ? | ? | 2002 | 90 nm | CMOS | 소니, 도시바, 삼성그룹 | [218][340] |
| CS100 | ? | 2003 | 90 nm | CMOS | 후지쯔 | [413] |
| 인텔 90 nm | 1,450,000 | 2004 | 90 nm | CMOS | 인텔 | [415][312] |
| 삼성 80 nm | ? | 2004 | 80 nm | CMOS | 삼성그룹 | [416] |
| ? | ? | 2004 | 65 nm | CMOS | 후지쯔, 도시바 | [417] |
| 삼성 60 nm | ? | 2004 | 60 nm | CMOS | 삼성그룹 | [340] |
| TSMC 45 nm | ? | 2004 | 45 nm | CMOS | TSMC | |
| 엘피다 90 nm | ? | 2005 | 90 nm | CMOS | 엘피다 메모리 | [418] |
| CS200 | ? | 2005 | 65 nm | CMOS | 후지쯔 | [419][413] |
| 삼성 50 nm | ? | 2005 | 50 nm | CMOS | 삼성그룹 | [342] |
| 인텔 65 nm | 2,080,000 | 2006 | 65 nm | CMOS | 인텔 | [415] |
| 삼성 40 nm | ? | 2006 | 40 nm | CMOS | 삼성그룹 | [342] |
| 도시바 56 nm | ? | 2007 | 56 nm | CMOS | 도시바 | [343] |
| 마쓰시타 45 nm | ? | 2007 | 45 nm | CMOS | 마쓰시타 | [81] |
| 인텔 45 nm | 3,300,000 | 2008 | 45 nm | CMOS | 인텔 | [420] |
| 도시바 43 nm | ? | 2008 | 43 nm | CMOS | 도시바 | [344] |
| TSMC 40 nm | ? | 2008 | 40 nm | CMOS | TSMC | [421] |
| 도시바 32 nm | ? | 2009 | 32 nm | CMOS | 도시바 | [422] |
| 인텔 32 nm | 7,500,000 | 2010 | 32 nm | CMOS | 인텔 | [420] |
| ? | ? | 2010 | 20 nm | CMOS | 하이닉스, 삼성그룹 | [423][342] |
| 인텔 22 nm | 15,300,000 | 2012 | 22 nm | CMOS | 인텔 | [420] |
| IMFT 20 nm | ? | 2012 | 20 nm | CMOS | IMFT | [424] |
| 도시바 19 nm | ? | 2012 | 19 nm | CMOS | 도시바 | |
| 하이닉스 16 nm | ? | 2013 | 16 nm | 핀펫 | SK하이닉스 | [423] |
| TSMC 16 nm | 28,880,000 | 2013 | 16 nm | 핀펫 | TSMC | [425][426] |
| 삼성 10 nm | 51,820,000 | 2013 | 10 nm | 핀펫 | 삼성그룹 | [427][428] |
| 인텔 14 nm | 37,500,000 | 2014 | 14 nm | 핀펫 | 인텔 | [420] |
| 14LP | 32,940,000 | 2015 | 14 nm | 핀펫 | 삼성그룹 | [427] |
| TSMC 10 nm | 52,510,000 | 2016 | 10 nm | 핀펫 | TSMC | [425][429] |
| 12LP | 36,710,000 | 2017 | 12 nm | 핀펫 | 글로벌파운드리스, 삼성그룹 | [239] |
| N7FF | 96,500,000
101,850,000[430] |
2017 | 7 nm | 핀펫 | TSMC | [431][432][433] |
| 8LPP | 61,180,000 | 2018 | 8 nm | 핀펫 | 삼성그룹 | [427] |
| 7LPE | 95,300,000 | 2018 | 7 nm | 핀펫 | 삼성그룹 | [432] |
| 인텔 10 nm | 100,760,000
106,100,000[430] |
2018 | 10 nm | 핀펫 | 인텔 | [434] |
| 5LPE | 126,530,000 | 2018 | 5 nm | 핀펫 | 삼성그룹 | [436][437] |
| N7FF+ | 113,900,000 | 2019 | 7 nm | 핀펫 | TSMC | [431][432] |
| CLN5FF | 171,300,000
185,460,000[430] |
2019 | 5 nm | 핀펫 | TSMC | [402] |
| 인텔 7 | 100,760,000
106,100,000[430] |
2021 | 7 nm | 핀펫 | 인텔 | |
| 4LPE | 145,700,000[435] | 2021 | 4 nm | 핀펫 | 삼성그룹 | [438][439][440] |
| N4 | 196,600,000[430][441] | 2021 | 4 nm | 핀펫 | TSMC | [442] |
| N4P | 196,600,000[430][441] | 2022 | 4 nm | 핀펫 | TSMC | [443] |
| 3GAE | 202,850,000[430] | 2022 | 3 nm | MBCFET | 삼성그룹 | [444][438][445] |
| N3 | 314,730,000[430] | 2022 | 3 nm | 핀펫 | TSMC | [446][447] |
| N4X | ? | 2023 | 4 nm | 핀펫 | TSMC | [448][449][450] |
| N3E | ? | 2023 | 3 nm | 핀펫 | TSMC | [447][451] |
| 3GAP | ? | 2023 | 3 nm | MBCFET | 삼성그룹 | [438] |
| 인텔 4 | 160,000,000[452] | 2023 | 4 nm | 핀펫 | 인텔 | [453][454][455] |
| 인텔 3 | ? | 2023 | 3 nm | 핀펫 | 인텔 | [454][455] |
| 인텔 20A | ? | 2024 | 2 nm | 리본펫 | 인텔 | [454][455] |
| 인텔 18A | ? | 2025 | 서브-2 nm | 리본펫 | 인텔 | [454] |
| 2GAP | ? | 2025 | 2 nm | MBCFET | 삼성그룹 | [438] |
| N2 | ? | 2025 | 2 nm | GAAFET | TSMC | [447][451] |
| 삼성 1.4 nm | ? | 2027 | 1.4 nm | ? | 삼성그룹 | [456] |
게이트 수
[편집]특정 응용 분야에서는 트랜지스터 수 대신 게이트 수라는 용어가 선호된다. 이는 설계를 구현하는 데 필요한 트랜지스터 및 기타 전자 장치로 구성된 논리 회로의 수를 나타낸다.[457][458][459][460]
같이 보기
[편집]내용주
[편집]- ↑ 영국 기반 반도체 스타트업 Graphcore가 개척한 머신러닝 워크로드 처리에 특화된 마이크로프로세서.
- ↑ 1998년 기밀 해제
- ↑ TMS1000은 마이크로컨트롤러이며, 트랜지스터 수는 CPU뿐만 아니라 메모리 및 입출력 컨트롤러를 포함한다.
- ↑ 공핍 모드 풀업 트랜지스터를 제외하면 2668개
- ↑ 공핍 모드 풀업 트랜지스터를 제외하면 3,510개
- ↑ 공핍 모드 풀업 트랜지스터를 제외하면 6,813개
- ↑ 3,900,000,000 코어 칩렛 다이, 2,090,000,000 I/O 다이
- ↑ 가 나 추정치
- ↑ 버설 프리미엄은 2021년 상반기에 출시될 예정이지만 VP1802에 대한 언급은 없었다. 보통 자일링스는 가장 큰 장치의 출시를 위해 별도의 뉴스를 발표하므로 VP1802는 나중에 출시될 가능성이 있다.
- ↑ "인텔리전스 프로세싱 유닛"
각주
[편집]- ↑ 가 나 Hruska, Joel (August 2019). “Cerebras Systems Unveils 1.2 Trillion Transistor Wafer-Scale Processor for AI”. 《extremetech.com》. 2019년 9월 6일에 확인함.
- ↑ 가 나 Feldman, Michael (August 2019). “Machine Learning chip breaks new ground with waferscale integration”. 《nextplatform.com》. 2019년 9월 6일에 확인함.
- ↑ 가 나 Cutress, Ian (August 2019). “Hot Chips 31 Live Blogs: Cerebras' 1.2 Trillion Transistor Deep Learning Processor”. 《anandtech.com》. 2019년 8월 20일에 원본 문서에서 보존된 문서. 2019년 9월 6일에 확인함.
- ↑ 가 나 “A Look at Cerebras Wafer-Scale Engine: Half Square Foot Silicon Chip” (미국 영어). 《WikiChip Fuse》. 2019년 11월 16일. 2019년 12월 2일에 확인함.
- ↑ 가 나 Everett, Joseph (2020년 8월 26일). “World's largest CPU has 850,000 7 nm cores that are optimized for AI and 2.6 trillion transistors”. 《TechReportArticles》.
- ↑ “John Gustafson's answer to How many individual transistors are in the world's most powerful supercomputer?”. 《쿼라》. 2019년 8월 22일에 확인함.
- ↑ Pires, Francisco (2022년 10월 5일). “Water-Based Chips Could be Breakthrough for Neural Networking, AI: Wetware has gained an entirely new meaning” (영어). 《Tom's Hardware》. 2022년 10월 5일에 확인함.
- ↑ Laws, David (2018년 4월 2일). “13 Sextillion & Counting: The Long & Winding Road to the Most Frequently Manufactured Human Artifact in History”. 《컴퓨터 역사 박물관》.
- ↑ Handy, Jim (2014년 5월 26일). “How Many Transistors Have Ever Shipped?”. 《포브스》.
- ↑ “1971: Microprocessor Integrates CPU Function onto a Single Chip”. 《The Silicon Engine》. 컴퓨터 역사 박물관. 2019년 9월 4일에 확인함.
- ↑ 가 나 Holt, Ray. “World's First Microprocessor”. 2016년 3월 5일에 확인함.
1st fully integrated chip set microprocessor
- ↑ 가 나 “Alpha 21364 - Microarchitectures - Compaq - WikiChip”. 《en.wikichip.org》. 2019년 9월 8일에 확인함.
- ↑ Holt, Ray M. (1998). 《The F14A Central Air Data Computer and the LSI Technology State-of-the-Art in 1968》. 8쪽.
- ↑ Holt, Ray M. (2013). “F14 TomCat MOS-LSI Chip Set”. 《First Microprocessor》. 2020년 11월 6일에 원본 문서에서 보존된 문서. 2020년 11월 6일에 확인함.
- ↑ Ken Shirriff. "The Texas Instruments TMX 1795: the (almost) first, forgotten microprocessor". 2015.
- ↑ Ryoichi Mori; Hiroaki Tajima; Morihiko Tajima; Yoshikuni Okada (October 1977). 《Microprocessors in Japan》. 《Euromicro Newsletter》 3. 50–7쪽. doi:10.1016/0303-1268(77)90111-0.
- ↑ 가 나 “NEC 751 (uCOM-4)”. The Antique Chip Collector's Page. 2011년 5월 25일에 원본 문서에서 보존된 문서. 2010년 6월 11일에 확인함.
- ↑ 가 나 “1970s: Development and evolution of microprocessors” (PDF). 《Semiconductor History Museum of Japan》. 2019년 6월 27일에 원본 문서 (PDF)에서 보존된 문서. 2019년 6월 27일에 확인함.
- ↑ 가 나 “1973: 12-bit engine-control microprocessor (Toshiba)” (PDF). 《Semiconductor History Museum of Japan》. 2019년 6월 27일에 원본 문서 (PDF)에서 보존된 문서. 2019년 6월 27일에 확인함.
- ↑ “Low Bandwidth Timeline – Semiconductor”. 《텍사스 인스트루먼트》. 2016년 6월 22일에 확인함.
- ↑ Ken Shirriff. "Inside the HP Nanoprocessor: a high-speed processor that can't even add". 2020. quote: "By my count, the Nanoprocessor has 4639 transistors. ... 3829 unique transistors. Of these, 1061 act as pull-ups, while 2668 are active. In comparison, the 6502 has 4237 transistors, of which 3218 are active. The 8008 has 3500 transistors and the Motorola 6800 has 4100 transistors."
- ↑ “The MOS 6502 and the Best Layout Guy in the World”. 《research.swtch.com》. 2011년 1월 3일. 2019년 9월 3일에 확인함.
- ↑ Shirriff, Ken (January 2023). “Counting the transistors in the 8086 processor: it's harder than you might think”.
- ↑ “Digital History: ZILOG Z8000 (APRIL 1979)”. 《OLD-COMPUTERS.COM : The Museum》. 2019년 6월 19일에 확인함.
- ↑ “Chip Hall of Fame: Motorola MC68000 Microprocessor”. 《전기전자공학자협회》. 전기전자공학자협회. 2017년 6월 30일. 2019년 6월 19일에 확인함.
- ↑ Microprocessors: 1971 to 1976 보관됨 12월 3, 2013 - 웨이백 머신 Christiansen
- ↑ “Microprocessors 1976 to 1981”. weber.edu. 2013년 12월 3일에 원본 문서에서 보존된 문서. 2014년 8월 9일에 확인함.
- ↑ “W65C816S 16-bit Core”. 《www.westerndesigncenter.com》. 2017년 9월 12일에 확인함.
- ↑ 가 나 다 라 마 Demone, Paul (2000년 11월 9일). “ARM's Race to World Domination”. real world technologies. 2015년 7월 20일에 확인함.
- ↑ Hand, Tom. “The Harris RTX 2000 Microcontroller” (PDF). 《mpeforth.com》. 2014년 8월 9일에 확인함.
- ↑ “Forth chips list”. UltraTechnology. 2001년 3월 15일. 2014년 8월 9일에 확인함.
- ↑ Koopman, Philip J. (1989). 〈4.4 Architecture of the Novix NC4016〉. 《Stack Computers: the new wave》. Ellis Horwood Series in Computers and Their Applications. 카네기 멜런 대학교. ISBN 978-0745804187. 2014년 8월 9일에 확인함.
- ↑ “Fujitsu SPARC”. 《cpu-collection.de》. 2019년 6월 30일에 확인함.
- ↑ 가 나 Kimura S, Komoto Y, Yano Y (1988). 《Implementation of the V60/V70 and its FRM function》. 《IEEE Micro》 8. 22–36쪽. doi:10.1109/40.527. S2CID 9507994.
- ↑ “VL2333 - VTI - WikiChip”. 《en.wikichip.org》. 2019년 8월 31일에 확인함.
- ↑ Inayoshi H, Kawasaki I, Nishimukai T, Sakamura K (1988). 《Realization of Gmicro/200》. 《IEEE Micro》 8. 12–21쪽. doi:10.1109/40.526. S2CID 36938046.
- ↑ Bosshart, P.; Hewes, C.; Mi-Chang Chang; Kwok-Kit Chau; Hoac, C.; Houston, T.; Kalyan, V.; Lusky, S.; Mahant-Shetti, S.; Matzke, D.; Ruparel, K.; Ching-Hao Shaw; Sridhar, T.; Stark, D. (October 1987). 《A 553K-Transistor LISP Processor Chip》. 《IEEE Journal of Solid-State Circuits》 22. 202–3쪽. doi:10.1109/ISSCC.1987.1157084. S2CID 195841103.
- ↑ Fahlén, Lennart E.; Stockholm International Peace Research Institute (1987). 〈3. Hardware requirements for artificial intelligence § Lisp Machines: TI Explorer〉. 《Arms and Artificial Intelligence: Weapon and Arms Control Applications of Advanced Computing》. SIPRI Monograph Series. 옥스퍼드 대학교 출판부. 57쪽. ISBN 978-0-19-829122-0.
- ↑ Jouppi, Norman P.; Tang, Jeffrey Y. F. (July 1989). 《A 20-MIPS Sustained 32-bit CMOS Microprocessor with High Ratio of Sustained to Peak Performance》. 《IEEE Journal of Solid-State Circuits》 24. i쪽. Bibcode:1989IJSSC..24.1348J. CiteSeerX 10.1.1.85.988. doi:10.1109/JSSC.1989.572612. WRL Research Report 89/11.
- ↑ “The CPU shack museum”. CPUshack.com. 2005년 5월 15일. 2014년 8월 9일에 확인함.
- ↑ 가 나 다 “Intel i960 Embedded Microprocessor”. 《플로리다 주립 대학교》. 플로리다 주립 대학교. 2003년 3월 3일. 2003년 3월 3일에 원본 문서에서 보존된 문서. 2019년 6월 29일에 확인함.
- ↑ Venkatasawmy, Rama (2013). 《The Digitization of Cinematic Visual Effects: Hollywood's Coming of Age》. Rowman & Littlefield. 198쪽. ISBN 9780739176214.
- ↑ Bakoglu, Grohoski, and Montoye. "The IBM RISC System/6000 processor: Hardware overview." IBM J. Research and Development. Vol. 34 No. 1, January 1990, pp. 12-22.
- ↑ “SH Microprocessor Leading the Nomadic Era” (PDF). 《Semiconductor History Museum of Japan》. 2019년 6월 27일에 원본 문서 (PDF)에서 보존된 문서. 2019년 6월 27일에 확인함.
- ↑ “SH2: A Low Power RISC Micro for Consumer Applications” (PDF). 히타치. 2019년 5월 10일에 원본 문서 (PDF)에서 보존된 문서. 2019년 6월 27일에 확인함.
- ↑ “HARP-1: A 120 MHz Superscalar PA-RISC Processor” (PDF). 히타치. 2016년 4월 23일에 원본 문서 (PDF)에서 보존된 문서. 2019년 6월 19일에 확인함.
- ↑ White and Dhawan. "POWER2: next generation of the RISC System/6000 family" IBM J. Research and Development. Vol. 38 No. 5, September 1994, pp. 493-502.
- ↑ “ARM7 Statistics”. Poppyfields.net. 1994년 5월 27일. 2014년 8월 9일에 확인함.
- ↑ “Forth Multiprocessor Chip MuP21”. 《www.ultratechnology.com》. 2019년 9월 6일에 확인함.
MuP21 has a 21-bit CPU core, a memory coprocessor, and a video coprocessor
- ↑ 가 나 “F21 CPU”. 《www.ultratechnology.com》. 2019년 9월 6일에 확인함.
F21 offers video I/O, analog I/O, serial network I/O, and a parallel I/O port on chip. F21 has a transistor count of about 15,000 vs about 7,000 for MuP21.
- ↑ “Ars Technica: PowerPC on Apple: An Architectural History, Part I - Page 2 - (8/2004)”. 《archive.arstechnica.com》. 2020년 8월 11일에 확인함.
- ↑ Gary et al. (1994). "The PowerPC 603 microprocessor: a low-power design for portable applications." Proceedings of COMPCON 94. DOI: 10.1109/CMPCON.1994.282894
- ↑ Slaton et al. (1995). "The PowerPC 603e microprocessor: an enhanced, low-power, superscalar microprocessor." Proceedings of ICCD '95 International Conference on Computer Design. DOI: 10.1109/ICCD.1995.528810
- ↑ Bowhill, William J. et al. (1995). "Circuit Implementation of a 300-MHz 64-bit Second-generation CMOS Alpha CPU". Digital Technical Journal, Volume 7, Number 1, pp. 100–118.
- ↑ “Intel Pentium Pro 180”. 《hw-museum.cz》. 2015년 2월 20일. 2019년 9월 8일에 확인함.
- ↑ Kozierok, Charles M. (2001년 4월 17일). “PC Guide Intel Pentium Pro ("P6")”. 《The PC Guide》. 2001년 4월 14일에 원본 문서에서 보존된 문서. 2014년 8월 9일에 확인함.
- ↑ Gaddis, N.; Lotz, J. (November 1996). "A 64-b quad-issue CMOS RISC microprocessor". IEEE Journal of Solid-State Circuits 31 (11): pp. 1697–1702.
- ↑ Bouchard, Gregg. "Design objectives of the 0.35 μm Alpha 21164 Microprocessor". IEEE Hot Chips Symposium, August 1996, IEEE Computer Society.
- ↑ Ulf Samuelsson. “Transistor count of common uCs?”. 《www.embeddedrelated.com》. 2019년 9월 8일에 확인함.
IIRC, The AVR core is 12,000 gates, and the megaAVR core is 20,000 gates. Each gate is 4 transistors. The chip is considerably larger since the memory uses quite a lot.
- ↑ Gronowski, Paul E. et al. (May 1998). "High-performance microprocessor design". IEEE Journal of Solid-State Circuits 33 (5): pp. 676–686.
- ↑ Nakagawa, Norio; Arakawa, Fumio (April 1999). 《Entertainment Systems and High-Performance Processor SH-4》 (PDF). 《Hitachi Review》 48. 58–63쪽. 2023년 3월 18일에 확인함.
- ↑ Nishii, O.; Arakawa, F.; Ishibashi, K.; Nakano, S.; Shimura, T.; Suzuki, K.; Tachibana, M.; Totsuka, Y.; Tsunoda, T.; Uchiyama, K.; Yamada, T.; Hattori, T.; Maejima, H.; Nakagawa, N.; Narita, S.; Seki, M.; Shimazaki, Y.; Satomura, R.; Takasuga, T.; Hasegawa, A. (1998). 〈A 200 MHZ 1.2 W 1.4 GFLOPS microprocessor with graphic operation unit〉. 《1998 IEEE International Solid-State Circuits Conference. Digest of Technical Papers, ISSCC. First Edition (Cat. No. 98CH36156)》. 전기전자공학자협회. 18.1–1 – 18.1–11쪽. doi:10.1109/ISSCC.1998.672469. ISBN 0-7803-4344-1. S2CID 45392734.
- ↑ 가 나 다 Diefendorff, Keith (1999년 4월 19일). 《Sony's Emotionally Charged Chip: Killer Floating-Point "Emotion Engine" To Power PlayStation 2000》 (PDF). 《Microprocessor Report》 13. S2CID 29649747. 2019년 2월 28일에 원본 문서 (PDF)에서 보존된 문서. 2019년 6월 19일에 확인함.
- ↑ 가 나 Hennessy, John L.; Patterson, David A. (2002년 5월 29일). 《Computer Architecture: A Quantitative Approach》 3판. 모건 카우프만. 491쪽. ISBN 978-0-08-050252-6. 2013년 4월 9일에 확인함.
- ↑ 가 나 다 “NVIDIA GeForce 7800 GTX GPU Review”. 《PC 퍼스펙티브》. 2005년 6월 22일. 2019년 6월 18일에 확인함.
- ↑ Ando, H.; Yoshida, Y.; Inoue, A.; Sugiyama, I.; Asakawa, T.; Morita, K.; Muta, T.; Otokurumada, T.; Okada, S.; Yamashita, H.; Satsukawa, Y.; Konmoto, A.; Yamashita, R.; Sugiyama, H. (2003). 〈A 1.3GHz fifth generation SPARC64 microprocessor〉. 《Proceedings of the 40th Annual Design Automation Conference》. Design Automation Conference. 702–705쪽. doi:10.1145/775832.776010. ISBN 1-58113-688-9.
- ↑ Krewell, Kevin (21 October 2002). "Fujitsu's SPARC64 V Is Real Deal". Microprocessor Report.
- ↑ “Intel Pentium M Processor 1.60 GHZ, 1M Cache, 400 MHZ FSB Product Specifications”.
- ↑ “EE+GS”. 《PS2 Dev Wiki》.
- ↑ “Sony MARKETING (JAPAN) ANNOUNCES LAUNCH OF "PSX" DESR-5000 and DESR-7000 TOWARDS THE END OF 2003” (보도 자료). 소니그룹. 2003년 11월 27일.
- ↑ “EMOTION ENGINE AND GRAPHICS SYNTHESIZER USED IN THE CORE OF PLAYSTATION BECOME ONE CHIP” (PDF). 소니. 2003년 4월 21일. 2023년 3월 19일에 확인함.
- ↑ “Sony PSX's 90nm CPU is 'not 90nm'”. 《더 레지스터》. 2004년 1월 30일.
- ↑ “Semi Insights stands by 'not 90-nm' description of PSX chip”. 《EE 타임스》. 2004년 2월 5일.
- ↑ “Intel Pentium M Processor 760 (2M Cache, 2.00A GHZ, 533 MHZ FSB) Product Specifications”.
- ↑ 후지쯔 (August 2004). SPARC64 V Processor For UNIX Server.
- ↑ “A Glimpse Inside The Cell Processor”. 《가마수트라》. 2006년 7월 13일. 2019년 6월 19일에 확인함.
- ↑ “Intel Pentium D Processor 920”. Intel. 2023년 1월 5일에 확인함.
- ↑ “PRESS KIT — Dual-core Intel Itanium Processor”. Intel. 2014년 8월 9일에 확인함.
- ↑ 가 나 Toepelt, Bert (2009년 1월 8일). “AMD Phenom II X4: 45nm Benchmarked — The Phenom II And AMD's Dragon Platform”. Tom's Hardware. 2014년 8월 9일에 확인함.
- ↑ “ARM (Advanced RISC Machines) Processors”. EngineersGarage.com. 2014년 8월 9일에 확인함.
- ↑ 가 나 “Panasonic starts to sell a New-generation UniPhier System LSI”. 파나소닉. 2007년 10월 10일. 2019년 7월 2일에 확인함.
- ↑ "SPARC64 VI Extensions" page 56, Fujitsu Limited, Release 1.3, 27 March 2007
- ↑ Morgan, Timothy Prickett (17 July 2008). "Fujitsu and Sun Flex Their Quads with New Sparc Server Lineup". The Unix Guardian, Vol. 8, No. 27.
- ↑ Takumi Maruyama (2009). 《SPARC64 VIIIfx: Fujitsu's New Generation Octo Core Processor for PETA Scale computing》 (PDF). Proceedings of Hot Chips 21. IEEE Computer Society. 2010년 10월 8일에 원본 문서 (PDF)에서 보존된 문서. 2019년 6월 30일에 확인함.
- ↑ “Intel Atom N450 specifications”. 《Intel》. 2023년 6월 8일에 확인함.
- ↑ “Intel Atom D510 specifications”. 《Intel》. 2023년 6월 8일에 확인함.
- ↑ Stokes, Jon (2010년 2월 10일). “Sun's 1 billion-transistor, 16-core Niagara 3 processor”. ArsTechnica.com. 2014년 8월 9일에 확인함.
- ↑ “IBM to Ship World's Fastest Microprocessor”. IBM. 2010년 9월 1일. 2010년 9월 5일에 원본 문서에서 보존된 문서. 2014년 8월 9일에 확인함.
- ↑ “Intel to deliver first computer chip with two billion transistors”. AFP. 2008년 2월 5일. 2011년 5월 20일에 원본 문서에서 보존된 문서. 2008년 2월 5일에 확인함.
- ↑ "Intel Previews Intel Xeon 'Nehalem-EX' Processor." May 26, 2009. Retrieved on May 28, 2009.
- ↑ Morgan, Timothy Prickett (2011년 11월 21일), “Fujitsu parades 16-core Sparc64 super stunner”, 《더 레지스터》, 2011년 12월 8일에 확인함
- ↑ Angelini, Chris (2011년 11월 14일). “Intel Core i7-3960X Review: Sandy Bridge-E And X79 Express”. Tom's Hardware. 2014년 8월 9일에 확인함.
- ↑ “IDF2012 Mark Bohr, Intel Senior Fellow” (PDF).
- ↑ “Images of SPARC64” (PDF). fujitsu.com. 2017년 8월 29일에 확인함.
- ↑ “Intel's Atom Architecture: The Journey Begins”. AnandTech. 2009년 1월 22일에 원본 문서에서 보존된 문서. 2010년 4월 4일에 확인함.
- ↑ “Intel Xeon Phi SE10X”. TechPowerUp. 2015년 7월 20일에 확인함.
- ↑ Shimpi, Lal. “The Haswell Review: Intel Core i7-4770K & i5-4670K Tested”. 《anandtech》. 2013년 6월 7일에 원본 문서에서 보존된 문서. 2014년 11월 20일에 확인함.
- ↑ "Dimmick, Frank (2014년 8월 29일). “Intel Core i7 5960X Extreme Edition Review”. 《오버클럭커스 클럽》. 2014년 8월 29일에 확인함.
- ↑ “Apple A8X”. 《NotebookCheck》. 2015년 7월 20일에 확인함.
- ↑ “Intel Readying 15-core Xeon E7 v2”. AnandTech. 2014년 2월 12일에 원본 문서에서 보존된 문서. 2014년 8월 9일에 확인함.
- ↑ “Intel Xeon E5-2600 v3 Processor Overview: Haswell-EP Up to 18 Cores”. 《pcper》. 2014년 9월 8일. 2015년 1월 29일에 확인함.
- ↑ “Intel's Broadwell-U arrives aboard 15W, 28W mobile processors”. 테크리포트. 2015년 1월 5일. 2015년 1월 5일에 확인함.
- ↑ “Oracle Cranks up the Cores to 32 with Sparc M7 Chip”. 《EnterpriseTech》. 2014년 8월 13일.
- ↑ “Broadwell-E: Intel Core i7-6950X, 6900K, 6850K & 6800K Review”. 《Tom's Hardware》. 2016년 5월 30일. 2017년 4월 12일에 확인함.
- ↑ “The Broadwell-E Review”. 《PC 게이머》. 2016년 7월 8일. 2017년 4월 12일에 확인함.
- ↑ “HUAWEI TO UNVEIL KIRIN 970 SOC WITH AI UNIT, 5.5 BILLION TRANSISTORS AND 1.2 GBPS LTE SPEED AT IFA 2017”. 《firstpost.com》. 2017년 9월 1일. 2018년 11월 18일에 확인함.
- ↑ “Broadwell-EP Architecture - Intel Xeon E5-2600 v4 Broadwell-EP Review”. 《Tom's Hardware》. 2016년 3월 31일. 2016년 4월 4일에 확인함.
- ↑ “About the ZipCPU”. 《zipcpu.com》. 2019년 9월 10일에 확인함.
As of ORCONF, 2016, the ZipCPU used between 1286 and 4926 6-LUTs, depending upon how it is configured.
- ↑ “Qualcomm Snapdragon 835 (8998)”. 《NotebookCheck》. 2017년 9월 23일에 확인함.
- ↑ Takahashi, Dean (2017년 1월 3일). “Qualcomm's Snapdragon 835 will debut with 3 billion transistors and a 10nm manufacturing process”. 《벤처비트》.
- ↑ Singh, Teja (2017). 〈3.2 Zen: A Next-Generation High-Performance x86 Core〉. 《Proc. IEEE International Solid-State Circuits Conference》. 52–54쪽.
- ↑ Cutress, Ian (2017년 2월 22일). “AMD Launches Zen”. Anandtech.com. 2017년 2월 22일에 원본 문서에서 보존된 문서. 2017년 2월 22일에 확인함.
- ↑ “Ryzen 5 1600 - AMD”. 《Wikichip.org》. 2018년 4월 20일. 2018년 12월 9일에 확인함.
- ↑ “Kirin 970 – HiSilicon”. 《Wikichip》. 2018년 3월 1일. 2018년 11월 8일에 확인함.
- ↑ 가 나 Leadbetter, Richard (2017년 4월 6일). “Inside the next Xbox: Project Scorpio tech revealed”. 《유로게이머》. 2017년 5월 3일에 확인함.
- ↑ “Intel Xeon Platinum 8180”. 《TechPowerUp》. 2018년 12월 1일. 2018년 12월 2일에 확인함.
- ↑ Pellerano, Stefano (2022년 3월 2일). “Circuit Design to Harness the Power of Scaling and Integration (ISSCC 2022)”. 《YouTube》.
- ↑ Lee, Y. “SiFive Freedom SoCs : Industry's First Open Source RISC V Chips” (PDF). 《HotChips 29 IOT/Embedded》. 2020년 8월 9일에 원본 문서 (PDF)에서 보존된 문서. 2019년 6월 19일에 확인함.
- ↑ “Documents at Fujitsu” (PDF). fujitsu.com. 2017년 8월 29일에 확인함.
- ↑ Schmerer, Kai (2018년 11월 5일). “iPad Pro 2018: A12X-Prozessor bietet deutlich mehr Leistung” (독일어). 《ZDNet.de》.
- ↑ “Qualcomm Datacenter Technologies Announces Commercial Shipment of Qualcomm Centriq 2400 – The World's First 10nm Server Processor and Highest Performance Arm-based Server Processor Family Ever Designed”. 《퀄컴》. 2017년 11월 9일에 확인함.
- ↑ “Qualcomm Snapdragon 1000 for laptops could pack 8.5 billion transistors”. 테크레이더. 2017년 9월 23일에 확인함.
- ↑ “Spotted: Qualcomm Snapdragon 8cx Wafer on 7nm”. AnandTech. 2018년 12월 7일에 원본 문서에서 보존된 문서. 2018년 12월 6일에 확인함.
- ↑ “HiSilicon Kirin 710”. 《Notebookcheck》. 2018년 9월 19일. 2018년 11월 24일에 확인함.
- ↑ Yang, Daniel; Wegner, Stacy (2018년 9월 21일). “Apple iPhone Xs Max Teardown”. TechInsights. 2018년 9월 21일에 확인함.
- ↑ “Apple's A12 Bionic is the first 7-nanometer smartphone chip”. 《엔가젯》. 2018년 9월 26일에 확인함.
- ↑ “Kirin 980 – HiSilicon”. 《Wikichip》. 2018년 11월 8일. 2018년 11월 8일에 확인함.
- ↑ “Qualcomm Snapdragon 8180: 7nm SoC SDM1000 With 8.5 Billion Transistors To Challenge Apple A12 Bionic Chipset”. dailyhunt. 2018년 9월 21일에 확인함.
- ↑ Zafar, Ramish (2018년 10월 30일). “Apple's A12X Has 10 Billion Transistors, 90% Performance Boost & 7-Core GPU”. 《Wccftech》.
- ↑ “Fujitsu began to produce Japan's billions of super-calculations with the strongest ARM processor A64FX”. 《firstxw.com》. 2019년 4월 16일. 2019년 6월 20일에 원본 문서에서 보존된 문서. 2019년 6월 19일에 확인함.
- ↑ “Fujitsu Successfully Triples the Power Output of Gallium-Nitride Transistors”. 《후지쯔》. 2018년 8월 22일. 2019년 6월 19일에 확인함.
- ↑ “Hot Chips 30: Nvidia Xavier SoC”. 《fuse.wikichip.org》. 2018년 9월 18일. 2018년 12월 6일에 확인함.
- ↑ Frumusanu, Andrei. “The Samsung Galaxy S10+ Snapdragon & Exynos Review: Almost Perfect, Yet So Flawed”. 《www.anandtech.com》. 2019년 3월 29일에 원본 문서에서 보존된 문서. 2021년 2월 19일에 확인함.
- ↑ 가 나 다 라 마 바 “Zen 2 Microarchitecture”. 《WikiChip》. 2023년 2월 21일에 확인함.
- ↑ “AMD Ryzen 9 3900X and Ryzen 7 3700X Review: Zen 2 and 7nm Unleashed”. 《Tom's Hardware》. 2019년 7월 7일. 2019년 10월 19일에 확인함.
- ↑ Frumusanu, Andrei. “The Huawei Mate 30 Pro Review: Top Hardware without Google?” (미국 영어). 《AnandTech》. 2020년 1월 2일에 원본 문서에서 보존된 문서. 2020년 1월 2일에 확인함.
- ↑ Zafar, Ramish (2019년 9월 10일). “Apple A13 For iPhone 11 Has 8.5 Billion Transistors, Quad-Core GPU”. 《Wccftech》. 2019년 9월 11일에 확인함.
- ↑ 《Introducing iPhone 11 Pro — Apple Youtube Video》, 2019년 9월 11일에 확인함
- ↑ “Hot Chips 2020 Live Blog: IBM z15”. 《AnandTech》. 2020년 8월 17일. 2020년 8월 17일에 원본 문서에서 보존된 문서.
- ↑ 가 나 Broekhuijsen, Niels (2019년 10월 23일). “AMD's 64-Core EPYC and Ryzen CPUs Stripped: A Detailed Inside Look”. 2019년 10월 24일에 확인함.
- ↑ 가 나 Mujtaba, Hassan (2019년 10월 22일). “AMD 2nd Gen EPYC Rome Processors Feature A Gargantuan 39.54 Billion Transistors, IO Die Pictured in Detail”. 2019년 10월 24일에 확인함.
- ↑ Friedman, Alan (2019년 12월 14일). “5nm Kirin 1020 SoC tipped for next year's Huawei Mate 40 line” (미국 영어). 《폰 아레나》. 2019년 12월 23일에 확인함.
- ↑ Verheyde, Arne (2019년 12월 5일). “Amazon Compares 64-core ARM Graviton2 to Intel's Xeon” (영어). 《Tom's Hardware》. 2019년 12월 6일에 확인함.
- ↑ Morgan, Timothy Prickett (2019년 12월 3일). “Finally: AWS Gives Servers A Real Shot In The Arm” (미국 영어). 《The Next Platform》. 2019년 12월 6일에 확인함.
- ↑ Friedman, Alan (2019년 10월 10일). “Qualcomm will reportedly introduce the Snapdragon 865 SoC as soon as next month” (미국 영어). 《폰 아레나》. 2021년 2월 19일에 확인함.
- ↑ “Xiaomi Mi 10 Teardown Analysis | TechInsights”. 《www.techinsights.com》. 2021년 2월 19일에 확인함.
- ↑ “The Linley Group - TI Jacinto Accelerates Level 3 ADAS”. 《www.linleygroup.com》. 2021년 2월 12일에 확인함.
- ↑ “Apple unveils A14 Bionic processor with 40% faster CPU and 11.8 billion transistors” (미국 영어). 《벤처비트》. 2020년 11월 10일. 2020년 11월 24일에 확인함.
- ↑ “Apple says new Arm-based M1 chip offers the 'longest battery life ever in a Mac'” (미국 영어). 《더 버지》. 2020년 11월 10일. 2020년 11월 11일에 확인함.
- ↑ Ikoba, Jed John (2020년 10월 23일). “Multiple benchmark tests rank the Kirin 9000 as one of the most-powerful chipset yet” (미국 영어). 《Gizmochina》. 2020년 11월 14일에 확인함.
- ↑ Frumusanu, Andrei. “Huawei Announces Mate 40 Series: Powered by 15.3bn Transistors 5nm Kirin 9000”. 《www.anandtech.com》. 2020년 10월 22일에 원본 문서에서 보존된 문서. 2020년 11월 14일에 확인함.
- ↑ 가 나 Burd, Thomas (2022). 〈2.7 Zen3: The AMD 2nd-Generation 7nm x86-64 Microprocessor Core〉. 《Proc. IEEE International Solid-State Circuits Conference》. 54–56쪽.
- ↑ “For a long time, Intel once again named the number of transistors in the chip. There are supposed to be about 6 billion for Rocket Lake-S. Coffee Lake-S is supposed to have about 4 billion. The chip with eight cores is about 30 % bigger than the predecessor with ten core” (영어). 《트위터》. 2021년 3월 16일에 확인함.
- ↑ “Intel's Core i7-11700K 'Rocket Lake' Delidded: A Big Die, Revealed” (영어). 《tomshardware》. 2021년 3월 12일. 2021년 3월 16일에 확인함.
- ↑ “Intel's 14nm density” (영어). 《www.techcenturion.com》. 2019년 11월 26일. 2019년 11월 26일에 확인함.
- ↑ “AMD Ryzen 7 5800H Specs” (영어). 《TechPowerUp》. 2021년 9월 20일에 확인함.
- ↑ “AMD Epyc 7763 specifications”. August 2023.
- ↑ Shankland, Stephen. “Apple's A15 Bionic chip powers iPhone 13 with 15 billion transistors, new graphics and AI” (영어). 《CNET》. 2021년 9월 20일에 확인함.
- ↑ “Apple iPhone 13 Pro Teardown | TechInsights”. 《www.techinsights.com》. 2021년 9월 29일에 확인함.
- ↑ 가 나 “Apple unveils M1 Pro and M1 Max chips for latest MacBook Pro laptops”. 《벤처비트》. 2021년 10월 18일.
- ↑ “Apple Announces M1 Pro & M1 Max: Giant New Arm SoCs with All-Out Performance” (영어). 《AnanadTech》. 2021년 10월 18일에 원본 문서에서 보존된 문서. 2021년 12월 2일에 확인함.
- ↑ “Apple unveils new computer chips amid shortage”. 《BBC 뉴스》. 2021년 10월 19일.
- ↑ 가 나 “Apple Joins 3D-Fabric Portfolio with M1 Ultra?”. 《TechInsights》. 2022년 7월 8일에 확인함.
- ↑ “Hot Chips 2020 live blog”. 《AnandTech》. 2020년 8월 17일. 2020년 8월 17일에 원본 문서에서 보존된 문서.
- ↑ “Phantom X2 Series 5G powered by MediaTek Dimensity 9000”. 《미디어텍》. 2022년 12월 12일.
- ↑ “MediaTek Dimensity 9000”. 《미디어텍》. 2023년 1월 21일.
- ↑ “Apple A16 Bionic announced for the iPhone 14 Pro and iPhone 14 Pro Max”. 《NotebookCheck》. 2022년 9월 7일.
- ↑ “iPhone 14 Pro and Pro Max Only Models to Get New A16 Chip”. 《CNET》. 2022년 9월 7일.
- ↑ “The Apple 2022 Fall iPhone Event Live Blog”. 《AnandTech》. 2022년 9월 7일. 2022년 9월 8일에 원본 문서에서 보존된 문서.
- ↑ “Apple unveils M1 Ultra, the world's most powerful chip for a personal computer”. 《Apple Newsroom》. 2022년 3월 9일에 확인함.
- ↑ Shankland, Stephen. “Meet Apple's Enormous 20-Core M1 Ultra Processor, the Brains in the New Mac Studio Machine” (영어). 《CNET》. 2022년 3월 9일에 확인함.
- ↑ 가 나 “AMD releases Milan-X CPUs”. 《AnandTech》. 2022년 3월 21일. 2022년 3월 29일에 원본 문서에서 보존된 문서.
- ↑ “IBM Telum Hot Chips slide deck” (PDF). 2021년 8월 23일.
- ↑ “IBM z16 announcement”. 2022년 4월 5일.
- ↑ “Apple unveils M2, taking the breakthrough performance and capabilities of M1 even further”. 《Apple》. 2022년 6월 6일.
- ↑ “MediaTek Dimensity 9200: New flagship chipset debuts with ARM Cortex-X3 CPU and Immortalis-G715 GPU cores built around TSMC N4P node”. 《NotebookCheck》. 2022년 11월 8일.
- ↑ “Dimensity 9200 specs”. 《미디어텍》. 2022년 11월 8일.
- ↑ “Dimensity 9200 presentation”. 《미디어텍》. 2022년 11월 8일.
- ↑ “AMD EPYC Genoa Gaps Intel Xeon in Stunning Fashion”. 《ServeTheHome》. 2022년 11월 10일.
- ↑ “AMD Aims to Break the ZettaFLOP Barrier by 2035, Lays Down Next-Gen Plans to Resolve Efficiency Problems”. 《Appuals》. 2023년 2월 21일.
- ↑ “AMD Lays The Path To Zettascale Computing: Talks CPU & GPU Performance Plus Efficiency Trends, Next-Gen Chiplet Packaging & More”. 《WCCFtech》. 2023년 2월 20일.
- ↑ “AMD EPYC Genoa & SP5 Platform Leaked – 5nm Zen 4 CCD Measures Roughly 72mm, 12 CCD Package at 5428mm2, Up To 700W Peak Socket Power”. 《WCCFtech》. 2021년 8월 17일.
- ↑ Syed, Areej (2021년 8월 17일). “Leaked AMD Epyc Genoa Docs Reveal 96 Cores, Max TDP of 700W, and Zen 4 Chiplet Dimensions”. 《HardwareTimes》.
- ↑ “Kirin 9000S has about 6 billion fewer transistors than Kirin 9000, but its performance is stronger! How did you do it?” (미국 영어). 《iNews》. 2023년 9월 13일. 2023년 9월 24일에 확인함.
- ↑ “Apple Announces M4 SoC: Latest and Greatest Starts on 2024 iPad Pro”. 《Anandtech》. 2024년 5월 7일. 2024년 5월 7일에 원본 문서에서 보존된 문서.
- ↑ 가 나 다 “Apple introduces new M3 chip lineup, starting with the M3, M3 Pro, and M3 Max”. 《Arstechnica》. 2023년 10월 31일.
- ↑ Goldman, Joshua. “Apple A17 Pro Chip: The New Brain Inside iPhone 15 Pro, Pro Max” (영어). 《CNET》. 2023년 9월 12일에 확인함.
- ↑ “4th Gen Intel Xeon Scalable Sapphire Rapids Leaps Forward”. 《ServeTheHome》. 2023년 1월 10일.
- ↑ “Wie vier Dies zu einem "monolithischen" Sapphire Rapids werden”. 《hardwareLUXX》. 2022년 2월 21일.
- ↑ 가 나 “Apple unveils M2 Pro and M2 Max: next-generation chips for next-level workflows” (보도 자료). 《Apple》. 2023년 1월 17일.
- ↑ “Apple introduces M2 Ultra” (보도 자료). Apple. 2023년 6월 5일.
- ↑ “AMD EPYC Bergamo Launched 128 Cores Per Socket and 1024 Threads Per 1U”. 《ServeTheHome》. 2023년 6월 13일.
- ↑ “AMD Instinct MI300A Accelerators”. 《AMD》. 2024년 1월 14일에 확인함.
- ↑ Alcorn, Paul (2023년 12월 6일). “AMD unveils Instinct MI300X GPU and MI300A APU, claims up to 1.6X lead over Nvidia's competing GPUs”. 《Tom's Hardware》. 2024년 1월 14일에 확인함.
- ↑ "China creates world's thinnest chip with 5931 transistors". 2025.
- ↑ Williams, Chris. “Nvidia's Tesla P100 has 15 billion transistors, 21TFLOPS”. 《www.theregister.co.uk》. 2019년 8월 12일에 확인함.
- ↑ “Famous Graphics Chips: NEC μPD7220 Graphics Display Controller”. 《전기전자공학자협회 컴퓨터 학회》. 전기전자공학자협회. 2018년 8월 22일. 2019년 6월 21일에 확인함.
- ↑ “GPU History: Hitachi ARTC HD63484. The second graphics processor.”. 《전기전자공학자협회 컴퓨터 학회》. 전기전자공학자협회. 2018년 10월 7일. 2019년 6월 21일에 확인함.
- ↑ “Big Book of Amiga Hardware”.
- ↑ Russell, Jesse; Cohn, Ronald (May 2012). 《MOS Technology Agnus》. Book on Demand. ISBN 978-5511916842.
- ↑ 가 나 “30 Years of Console Gaming”. 《Klinger Photography》. 2017년 8월 20일. 2019년 6월 19일에 확인함.
- ↑ “Sega Saturn”. 《MAME》. 2019년 7월 18일에 확인함.
- ↑ “ASIC CHIPS ARE INDUSTRY'S GAME WINNERS”. 《워싱턴 포스트》. 1995년 9월 18일. 2019년 6월 19일에 확인함.
- ↑ “Is it Time to Rename the GPU?”. 《Jon Peddie Research》. 전기전자공학자협회 컴퓨터 학회. 2018년 7월 9일. 2019년 6월 19일에 확인함.
- ↑ “FastForward Sony Taps LSI Logic for PlayStation Video Game CPU Chip”. FastForward. 2014년 1월 29일에 확인함.
- ↑ 가 나 “Reality Co-Processor − The Power In Nintendo64” (PDF). 실리콘 그래픽스. 1997년 8월 26일. 2020년 5월 19일에 원본 문서 (PDF)에서 보존된 문서. 2019년 6월 18일에 확인함.
- ↑ “Imagination PowerVR PCX2 GPU”. 《VideoCardz.net》. 2019년 6월 19일에 확인함.
- ↑ 가 나 다 라 마 바 사 아 Lilly, Paul (2009년 5월 19일). “From Voodoo to GeForce: The Awesome History of 3D Graphics”. 《PC 게이머》. 2019년 6월 19일에 확인함.
- ↑ 가 나 다 라 마 바 사 아 자 차 카 타 파 하 거 너 더 러 머 버 서 어 저 처 커 터 퍼 허 고 노 도 로 모 보 소 오 조 초 코 “3D accelerator database”. 《Vintage 3D》. 2019년 7월 21일에 확인함.
- ↑ “RIVA128 Datasheet”. SGS Thomson Microelectronics. 2019년 7월 21일에 확인함.
- ↑ 가 나 다 Singer, Graham (2013년 4월 3일). “History of the Modern Graphics Processor, Part 2”. 《TechSpot》. 2019년 7월 21일에 확인함.
- ↑ “Remembering the Sega Dreamcast”. 《Bit-Tech》. 2009년 9월 29일. 2019년 6월 18일에 확인함.
- ↑ Weinberg, Neil (1998년 9월 7일). “Comeback kid”. 《포브스》. 2019년 6월 19일에 확인함.
- ↑ Charles, Bertie (1998). 《Sega's New Dimension》. 《포브스》 162 (Forbes Incorporated). 206쪽.
The chip, etched in 0.25-micron detail — state-of-the-art for graphics processors — fits 10 million transistors
- ↑ Hagiwara, Shiro; Oliver, Ian (November–December 1999). 《Sega Dreamcast: Creating a Unified Entertainment World》. 《IEEE Micro》 19 (전기전자공학자협회 컴퓨터 학회). 29–35쪽. doi:10.1109/40.809375. 2000년 8월 23일에 원본 문서에서 보존된 문서. 2019년 6월 27일에 확인함.
- ↑ “VideoLogic Neon 250 4MB”. 《VideoCardz.net》. 2019년 6월 19일에 확인함.
- ↑ Shimpi, Anand Lal (1998년 11월 21일). “Fall Comdex '98 Coverage”. 《AnandTech》. 2011년 3월 10일에 원본 문서에서 보존된 문서. 2019년 6월 19일에 확인함.
- ↑ 가 나 다 “EMOTION ENGINE AND GRAPHICS SYNTHESIZER USED IN THE CORE OF PLAYSTATION BECOME ONE CHIP” (PDF). 소니. 2003년 4월 21일. 2019년 6월 26일에 확인함.
- ↑ “NVIDIA NV10 A3 GPU Specs”. 《TechPowerUp》. 2019년 6월 19일에 확인함.
- ↑ IGN Staff (2000년 11월 4일). “Gamecube Versus PlayStation 2”. 《IGN》. 2015년 11월 22일에 확인함.
- ↑ “NVIDIA NV2A GPU Specs”. 《TechPowerUp》. 2019년 7월 21일에 확인함.
- ↑ “ATI Xenos GPU Specs”. 《TechPowerUp》. 2019년 6월 21일에 확인함.
- ↑ International, GamesIndustry (2005년 7월 14일). “TSMC to manufacture X360 GPU”. 《유로게이머》. 2006년 8월 22일에 확인함.
- ↑ “NVIDIA Playstation 3 RSX 65nm Specs”. 《TechPowerUp》. 2019년 6월 21일에 확인함.
- ↑ “PS3 Graphics Chip Goes 65nm in Fall”. Edge Online. 2008년 6월 26일. 2008년 7월 25일에 원본 문서에서 보존된 문서.
- ↑ “NVIDIA's 1.4 Billion Transistor GPU: GT200 Arrives as the GeForce GTX 280 & 260”. AnandTech.com. 2008년 6월 17일에 원본 문서에서 보존된 문서. 2014년 8월 9일에 확인함.
- ↑ “The Radeon HD 4850 & 4870: AMD Wins at $199 and $299”. AnandTech.com. 2012년 5월 30일에 원본 문서에서 보존된 문서. 2014년 8월 9일에 확인함.
- ↑ 가 나 Glaskowsky, Peter. “ATI and Nvidia face off-obliquely”. CNET. 2012년 1월 27일에 원본 문서에서 보존된 문서. 2014년 8월 9일에 확인함.
- ↑ Woligroski, Don (2011년 12월 22일). “AMD Radeon HD 7970”. Tom's Hardware. 2014년 8월 9일에 확인함.
- ↑ “NVIDIA Kepler GK110 Architecture” (PDF). 엔비디아. 2012. 2024년 1월 9일에 확인함.
- ↑ Smith, Ryan (2012년 11월 12일). “NVIDIA Launches Tesla K20 & K20X: GK110 Arrives At Last”. 《AnandTech》. 2012년 11월 13일에 원본 문서에서 보존된 문서.
- ↑ “Whitepaper: NVIDIA GeForce GTX 680” (PDF). NVIDIA. 2012. April 17, 2012에 원본 문서 (PDF)에서 보존된 문서.
- ↑ 가 나 Kan, Michael (2020년 8월 18일). “Xbox Series X May Give Your Wallet a Workout Due to High Chip Manufacturing Costs”. 《PCMag》. 2020년 9월 5일에 확인함.
- ↑ “AMD Xbox One GPU”. 《www.techpowerup.com》. 2020년 2월 5일에 확인함.
- ↑ “AMD PlayStation 4 GPU”. 《www.techpowerup.com》. 2020년 2월 5일에 확인함.
- ↑ “AMD Xbox One S GPU”. 《www.techpowerup.com》. 2020년 2월 5일에 확인함.
- ↑ “AMD PlayStation 4 Pro GPU”. 《www.techpowerup.com》. 2020년 2월 5일에 확인함.
- ↑ Smith, Ryan (2016년 6월 29일). “The AMD RX 480 Preview”. Anandtech.com. 2016년 6월 30일에 원본 문서에서 보존된 문서. 2017년 2월 22일에 확인함.
- ↑ 가 나 다 Schor, David (2018년 7월 22일). “VLSI 2018: GlobalFoundries 12nm Leading-Performance, 12LP”. 《WikiChip Fuse》. 2019년 5월 31일에 확인함.
- ↑ Harris, Mark (2016년 4월 5일). “Inside Pascal: NVIDIA's Newest Computing Platform”. 《Nvidia developer blog》.
- ↑ 가 나 다 라 마 바 “GPU Database: Pascal”. 《TechPowerUp》. 2023년 7월 26일.
- ↑ “AMD Xbox One X GPU”. 《www.techpowerup.com》. 2020년 2월 5일에 확인함.
- ↑ “Radeon's next-generation Vega architecture” (PDF).
- ↑ Durant, Luke; Giroux, Olivier; Harris, Mark; Stam, Nick (2017년 5월 10일). “Inside Volta: The World's Most Advanced Data Center GPU”. 《Nvidia developer blog》.
- ↑ “NVIDIA TURING GPU ARCHITECTURE: Graphics Reinvented” (PDF). 엔비디아. 2018. 2019년 6월 28일에 확인함.
- ↑ “NVIDIA GeForce GTX 1650”. 《www.techpowerup.com》. 2020년 2월 5일에 확인함.
- ↑ “NVIDIA GeForce GTX 1660 Ti”. 《www.techpowerup.com》. 2020년 2월 5일에 확인함.
- ↑ “AMD Radeon RX 5700 XT”. 《www.techpowerup.com》. 2020년 2월 5일에 확인함.
- ↑ “AMD Radeon RX 5500 XT”. 《www.techpowerup.com》. 2020년 2월 5일에 확인함.
- ↑ “AMD Arcturus GPU Specs”. 《TechPowerUp》. 2022년 11월 10일에 확인함.
- ↑ Walton, Jared (2020년 5월 14일). “Nvidia Unveils Its Next-Generation 7nm Ampere A100 GPU for Data Centers, and It's Absolutely Massive”. 《탐스 하드웨어》.
- ↑ “Nvidia Ampere Architecture”. 《www.nvidia.com》. 2020년 5월 15일에 확인함.
- ↑ “NVIDIA GA102 GPU Specs”. 《Techpowerup》. 2020년 9월 5일에 확인함.
- ↑ “'Giant Step into the Future': NVIDIA CEO Unveils GeForce RTX 30 Series GPUs”. 《www.nvidia.com》. September 2020. 2020년 9월 5일에 확인함.
- ↑ “NVIDIA GA103 GPU Specs” (영어). 《TechPowerUp》. 2023년 3월 21일에 확인함.
- ↑ “NVIDIA GeForce RTX 3070 Specs” (영어). 《TechPowerUp》. 2021년 9월 20일에 확인함.
- ↑ “NVIDIA GA106 specs”. 《TechPowerUp》. 2023년 3월 22일에 확인함.
- ↑ “NVIDIA GA107 GPU Specs” (영어). 《TechPowerUp》. 2023년 3월 21일에 확인함.
- ↑ “MI250X die size estimates”. 《Twitter》. 2021년 11월 17일.
- ↑ “AMD Instinct MI250 Professional Graphics Card”. 《VideoCardz》. 2022년 11월 2일.
- ↑ “AMD's Instinct MI250X OAM Card Pictured: Aldebaran's Massive Die Revealed”. 《Tom's Hardware》. 2021년 11월 17일.
- ↑ “AMD MI250X and Toplogies Explained at HC34”. 《ServeTheHome》. 2022년 8월 22일.
- ↑ “Nvidia Launches Hopper H100 GPU, New DGXs and Grace Superchips” (영어). 《HPCWire》. 2022년 3월 22일. 2022년 3월 23일에 확인함.
- ↑ “NVIDIA details AD102 GPU, up to 18432 CUDA cores, 76.3B transistors and 608 mm2”. 《VideoCardz》. 2022년 9월 20일.
- ↑ 가 나 “NVIDIA confirms Ada 102/103/104 GPU specs, AD104 has more transistors than GA102”. 《VideoCardz》. 2022년 9월 23일.
- ↑ 가 나 “Alleged Nvidia AD106 and AD107 GPU Pics, Specs, Die Sizes Revealed”. 《Tom's Hardware》. 2023년 2월 3일.
- ↑ “NVIDIA GeForce RTX 4060 Ti "AD106-350" GPU Pictured, Uses Samsung GDDR6 Dies”. 《WCCFtech》. 2023년 4월 28일.
- ↑ “NVIDIA's Smallest Ada GPU, The AD107-400, For GeForce RTX 4060 GPUs Pictured”. 《WCCFtech》. 2023년 5월 21일.
- ↑ “AMD Unveils World's Most Advanced Gaming Graphics Cards, Built on Groundbreaking AMD RDNA 3 Architecture with Chiplet Design” (보도 자료). 《AMD》. 2022년 11월 3일.
- ↑ “AMD Announces the $999 Radeon RX 7900 XTX... (endnote RX-819)”. 《TechPowerUp》. 2022년 11월 4일.
- ↑ “AMD Navi 31 GPU Specs” (영어). 《TechPowerUp》. 2023년 11월 7일에 확인함.
- ↑ “AMD Navi 32 GPU Specs” (영어). 《TechPowerUp》. 2023년 11월 7일에 확인함.
- ↑ “AMD Navi 33 GPU Specs” (영어). 《TechPowerUp》. 2023년 3월 21일에 확인함.
- ↑ “AMD Has a GPU to Rival Nvidia's H100” (영어). 《HPCWire》. 2023년 6월 13일. 2023년 6월 14일에 확인함.
- ↑ “AMD Aqua Vanjaram Specs”. 《TechPowerUp》. 2024년 1월 14일에 확인함.
- ↑ “NVIDIA Blackwell Platform Arrives to Power a New Era of Computing” (보도 자료). 2024년 3월 18일.
- ↑ “NVIDIA GB202 GPU Specs” (영어). 《TechPowerUp》. 2025년 10월 27일에 확인함.
- ↑ “NVIDIA GB203 GPU Specs” (영어). 《TechPowerUp》. 2025년 10월 27일에 확인함.
- ↑ “NVIDIA GB205 GPU Specs” (영어). 《TechPowerUp》. 2025년 10월 27일에 확인함.
- ↑ “NVIDIA GB206 GPU Specs” (영어). 《TechPowerUp》. 2025년 10월 27일에 확인함.
- ↑ “NVIDIA GB207 GPU Specs” (영어). 《TechPowerUp》. 2025년 10월 27일에 확인함.
- ↑ “AMD Navi 44 GPU Specs” (영어). 《TechPowerUp》. 2025년 10월 27일에 확인함.
- ↑ “AMD Navi 48 GPU Specs” (영어). 《TechPowerUp》. 2025년 10월 27일에 확인함.
- ↑ "Taiwan Company UMC Delivers 65nm FPGAs to Xilinx." SDA-ASIA Thursday, November 9, 2006.
- ↑ "“Altera's new 40nm FPGAs — 2.5 billion transistors!”. 《pldesignline.com》. 2010년 6월 19일에 원본 문서에서 보존된 문서. 2009년 1월 22일에 확인함.
- ↑ “Design of a High-Density SoC FPGA at 20nm” (PDF). 2014. 2016년 4월 23일에 원본 문서 (PDF)에서 보존된 문서. 2017년 7월 16일에 확인함.
- ↑ Maxfield, Clive (October 2011). “New Xilinx Virtex-7 2000T FPGA provides equivalent of 20 million ASIC gates”. 《EETimes》 (AspenCore). 2019년 9월 4일에 확인함.
- ↑ Greenhill, D.; Ho, R.; Lewis, D.; Schmit, H.; Chan, K. H.; Tong, A.; Atsatt, S.; How, D.; McElheny, P. (February 2017). 〈3.3 a 14nm 1GHz FPGA with 2.5D transceiver integration〉. 《2017 IEEE International Solid-State Circuits Conference (ISSCC)》. 54–55쪽. doi:10.1109/ISSCC.2017.7870257. ISBN 978-1-5090-3758-2. S2CID 2135354.
- ↑ Greenhill, David; Ho, Ron; Lewis, David; Schmit, Herman; Chan, Kok Hong; Tong, Andy; Atsatt, Sean; How, Dana; McElheny, Peter; Duwel, Keith; Schulz, Jeffrey; Faulkner, Darren; Iyer, Gopal; Chen, George; Phoon, Hee Kong; Lim, Han Wooi; Koay, Wei-Yee; Garibay, Ty (2017년 5월 17일). 《3.3 A 14nm 1GHz FPGA with 2.5D transceiver integration | DeepDyve》. 《DeepDyve》. 2017년 5월 17일에 원본 문서에서 보존된 문서. 2019년 9월 19일에 확인함.
- ↑ Santarini, Mike (May 2014). “Xilinx Ships Industry's First 20-nm All Programmable Devices” (PDF). 《Xcell journal》. 86호 (자일링스). 14쪽. 2014년 6월 3일에 확인함.
- ↑ Gianelli, Silvia (January 2015). “Xilinx Delivers the Industry's First 4M Logic Cell Device, Offering >50M Equivalent ASIC Gates and 4X More Capacity than Competitive Alternatives”. 《www.xilinx.com》. 2019년 8월 22일에 확인함.
- ↑ Sims, Tara (August 2019). “Xilinx Announces the World's Largest FPGA Featuring 9 Million System Logic Cells”. 《www.xilinx.com》. 2019년 8월 22일에 확인함.
- ↑ Verheyde, Arne (August 2019). “Xilinx Introduces World's Largest FPGA With 35 Billion Transistors”. 《www.tomshardware.com》. 2019년 8월 23일에 확인함.
- ↑ Cutress, Ian (August 2019). “Xilinx Announces World Largest FPGA: Virtex Ultrascale+ VU19P with 9m Cells”. 《www.anandtech.com》. 2019년 8월 27일에 원본 문서에서 보존된 문서. 2019년 9월 25일에 확인함.
- ↑ Abazovic, Fuad (May 2019). “Xilinx 7nm Versal taped out last year”. 2019년 9월 30일에 확인함.
- ↑ Cutress, Ian (August 2019). “Hot Chips 31 Live Blogs: Xilinx Versal AI Engine”. 2019년 8월 20일에 원본 문서에서 보존된 문서. 2019년 9월 30일에 확인함.
- ↑ Krewell, Kevin (August 2019). “Hot Chips 2019 highlights new AI strategies”. 2019년 9월 30일에 확인함.
- ↑ Leibson, Steven (2019년 11월 6일). “Intel announces Intel Stratix 10 GX 10M FPGA, worlds highest capacity with 10.2 million logic elements”. 2019년 11월 7일에 확인함.
- ↑ Verheyde, Arne (2019년 11월 6일). “Intel Introduces World's Largest FPGA With 43.3 Billion Transistors”. 2019년 11월 7일에 확인함.
- ↑ Cutress, Ian (August 2020). “Hot Chips 2020 Live Blog: Xilinx Versal ACAPs”. 2020년 8월 18일에 원본 문서에서 보존된 문서. 2020년 9월 9일에 확인함.
- ↑ “Xilinx Announces Full Production Shipments of 7nm Versal AI Core and Versal Prime Series Devices”. 2021년 4월 27일. 2021년 5월 8일에 확인함.
- ↑ 가 나 The DRAM memory of Robert Dennard history-computer.com
- ↑ 가 나 다 라 “Late 1960s: Beginnings of MOS memory” (PDF). 《Semiconductor History Museum of Japan》. 2019년 1월 23일. 2019년 6월 27일에 확인함.
- ↑ 가 나 다 라 마 바 “1970: Semiconductors compete with magnetic cores”. 《컴퓨터 역사 박물관》. 2019년 6월 19일에 확인함.
- ↑ “2.1.1 Flash Memory”. 《빈 공과대학교》. 2019년 6월 20일에 확인함.
- ↑ Shilov, Anton. “SK Hynix Starts Production of 128-Layer 4D NAND, 176-Layer Being Developed”. 《www.anandtech.com》. 2019년 6월 26일에 원본 문서에서 보존된 문서. 2019년 9월 16일에 확인함.
- ↑ “Samsung Begins Production of 100+ Layer Sixth-Generation V-NAND Flash”. 《PC Perspective》. 2019년 8월 11일. 2019년 9월 16일에 확인함.
- ↑ 가 나 “1966: Semiconductor RAMs Serve High-speed Storage Needs”. 《컴퓨터 역사 박물관》. 2019년 6월 19일에 확인함.
- ↑ “Specifications for Toshiba "TOSCAL" BC-1411”. 《Old Calculator Web Museum》. 2017년 7월 3일에 원본 문서에서 보존된 문서. 2018년 5월 8일에 확인함.
- ↑ “Toshiba "Toscal" BC-1411 Desktop Calculator”. 《Old Calculator Web Museum》. 2007년 5월 20일에 원본 문서에서 보존된 문서.
- ↑ Castrucci, Paul (1966년 5월 10일). “IBM first in IC memory” (PDF). 《IBM News》 3 (9) (IBM Corporation). 2019년 6월 19일에 확인함 – 컴퓨터 역사 박물관 경유.
- ↑ 가 나 다 라 마 바 사 아 자 차 카 타 파 “A chronological list of Intel products. The products are sorted by date.” (PDF). 《Intel museum》. Intel Corporation. July 2005. 2007년 8월 9일에 원본 문서 (PDF)에서 보존된 문서. 2007년 7월 31일에 확인함.
- ↑ 가 나 “1970s: SRAM evolution” (PDF). 《Semiconductor History Museum of Japan》. 2019년 6월 27일에 확인함.
- ↑ 가 나 다 Pimbley, J. (2012). 《Advanced CMOS Process Technology》. 엘스비어. 7쪽. ISBN 9780323156806.
- ↑ 가 나 “Intel: 35 Years of Innovation (1968–2003)” (PDF). Intel. 2003. 2021년 11월 4일에 원본 문서 (PDF)에서 보존된 문서. 2019년 6월 26일에 확인함.
- ↑ 가 나 Lojek, Bo (2007). 《History of Semiconductor Engineering》. 스프링거 사이언스+비즈니스 미디어. 362–363쪽. ISBN 9783540342588.
The i1103 was manufactured on a 6-mask silicon-gate P-MOS process with 8 μm minimum features. The resulting product had a 2,400 μm2 memory cell size, a die size just under 10 mm2, and sold for around $21.
- ↑ “Manufacturers in Japan enter the DRAM market and integration densities are improved” (PDF). 《Semiconductor History Museum of Japan》. 2019년 6월 27일에 확인함.
- ↑ 가 나 다 라 마 바 사 아 자 차 카 타 파 하 Gealow, Jeffrey Carl (1990년 8월 10일). “Impact of Processing Technology on DRAM Sense Amplifier Design” (PDF). 매사추세츠 공과대학교. 149–166쪽. 2019년 6월 25일에 확인함 – CORE 경유.
- ↑ “Silicon Gate MOS 2102A”. 인텔. 2019년 6월 27일에 확인함.
- ↑ “One of the Most Successful 16K Dynamic RAMs: The 4116”. 《국립 미국사 박물관》. 스미스소니언 협회. 2019년 6월 20일에 확인함.
- ↑ 《Component Data Catalog》 (PDF). 인텔. 1978. 3–94쪽. 2019년 6월 27일에 확인함.
- ↑ 가 나 다 라 마 바 사 아 자 차 카 타 파 하 거 너 더 러 머 버 “Memory”. 《STOL (Semiconductor Technology Online)》. 2023년 11월 2일에 원본 문서에서 보존된 문서. 2019년 6월 25일에 확인함.
- ↑ “The Cutting Edge of IC Technology: The First 294,912-Bit (288K) Dynamic RAM”. 《국립 미국사 박물관》. 스미스소니언 협회. 2019년 6월 20일에 확인함.
- ↑ “Computer History for 1984”. 《Computer Hope》. 2019년 6월 25일에 확인함.
- ↑ 《Japanese Technical Abstracts》. 《Japanese Technical Abstracts》 2 (University Microfilms). 1987. 161쪽.
The announcement of 1M DRAM in 1984 began the era of megabytes.
- ↑ “KM48SL2000-7 Datasheet”. 삼성. August 1992. 2019년 6월 19일에 확인함.
- ↑ 《Electronic Design》. 《일렉트로닉 디자인》 41 (Hayden Publishing Company). 1993.
The first commercial synchronous DRAM, the Samsung 16-Mbit KM48SL2000, employs a single-bank architecture that lets system designers easily transition from asynchronous to synchronous systems.
- ↑ Breaking the gigabit barrier, DRAMs at ISSCC portend major system-design impact. (dynamic random access memory; International Solid-State Circuits Conference; Hitachi Ltd. and NEC Corp. research and development), January 9, 1995
- ↑ 가 나 “Japanese Company Profiles” (PDF). 스미스소니언 협회. 1996. 2019년 6월 27일에 확인함.
- ↑ 가 나 “History: 1990s”. 《SK하이닉스》. 2021년 2월 5일에 원본 문서에서 보존된 문서. 2019년 7월 6일에 확인함.
- ↑ “Samsung 50nm 2GB DDR3 chips are industry's smallest”. 《SlashGear》. 2008년 9월 29일. 2019년 6월 25일에 확인함.
- ↑ Shilov, Anton (2017년 7월 19일). “Samsung Increases Production Volumes of 8 GB HBM2 Chips Due to Growing Demand”. 《아난드테크》. 2017년 7월 20일에 원본 문서에서 보존된 문서. 2019년 6월 29일에 확인함.
- ↑ “Samsung Unleashes a Roomy DDR4 256GB RAM”. 《탐스 하드웨어》. 2018년 9월 6일. 2019년 6월 21일에 원본 문서에서 보존된 문서. 2019년 6월 21일에 확인함.
- ↑ “First 3D Nanotube and RRAM ICs Come Out of Foundry”. 《IEEE Spectrum: Technology, Engineering, and Science News》. 2019년 7월 19일. 2019년 9월 16일에 확인함.
This wafer was made just last Friday... and it's the first monolithic 3D IC ever fabricated within a foundry
- ↑ “Three Dimensional Monolithic System-on-a-Chip”. 《www.darpa.mil》. 2019년 9월 16일에 확인함.
- ↑ “DARPA 3DSoC Initiative Completes First Year, Update Provided at ERI Summit on Key Steps Achieved to Transfer Technology into SkyWater's 200mm U.S. Foundry” (보도 자료). 《Skywater Technology Foundry》. 2019년 7월 25일. 2019년 9월 16일에 확인함.
- ↑ “DD28F032SA Datasheet”. 인텔. 2019년 6월 27일에 확인함.
- ↑ “TOSHIBA ANNOUNCES 0.13 MICRON 1Gb MONOLITHIC NAND FEATURING LARGE BLOCK SIZE FOR IMPROVED WRITE/ERASE SPEED PERFORMANCE”. 도시바. 2002년 9월 9일. 2006년 3월 11일에 원본 문서에서 보존된 문서. 2006년 3월 11일에 확인함.
- ↑ “TOSHIBA AND SANDISK INTRODUCE A ONE GIGABIT NAND FLASH MEMORY CHIP, DOUBLING CAPACITY OF FUTURE FLASH PRODUCTS”. 도시바. 2001년 11월 12일. 2019년 6월 20일에 확인함.
- ↑ 가 나 다 라 “Our Proud Heritage from 2000 to 2009”. 《삼성 반도체》. 삼성. 2019년 6월 25일에 확인함.
- ↑ “TOSHIBA ANNOUNCES 1 GIGABYTE COMPACTFLASH CARD”. 도시바. 2002년 9월 9일. 2006년 3월 11일에 원본 문서에서 보존된 문서. 2006년 3월 11일에 확인함.
- ↑ 가 나 다 라 “History”. 《삼성전자》. 삼성. 2019년 6월 19일에 확인함.
- ↑ 가 나 “TOSHIBA COMMERCIALIZES INDUSTRY'S HIGHEST CAPACITY EMBEDDED NAND FLASH MEMORY FOR MOBILE CONSUMER PRODUCTS”. 《도시바》. 2007년 4월 17일. 2010년 11월 23일에 원본 문서에서 보존된 문서. 2010년 11월 23일에 확인함.
- ↑ 가 나 “Toshiba Launches the Largest Density Embedded NAND Flash Memory Devices”. 도시바. 2008년 8월 7일. 2019년 6월 21일에 확인함.
- ↑ “Toshiba Launches Industry's Largest Embedded NAND Flash Memory Modules”. 《도시바》. 2010년 6월 17일. 2019년 6월 21일에 확인함.
- ↑ “Samsung e·MMC Product family” (PDF). 삼성전자. December 2011. 2019년 11월 8일에 원본 문서 (PDF)에서 보존된 문서. 2019년 7월 15일에 확인함.
- ↑ Shilov, Anton (2017년 12월 5일). “Samsung Starts Production of 512 GB UFS NAND Flash Memory: 64-Layer V-NAND, 860 MB/s Reads”. 《아난드테크》. 2017년 12월 5일에 원본 문서에서 보존된 문서. 2019년 6월 23일에 확인함.
- ↑ Manners, David (2019년 1월 30일). “Samsung makes 1TB flash eUFS module”. 《일렉트로닉스 위클리》. 2019년 6월 23일에 확인함.
- ↑ Tallis, Billy (2018년 10월 17일). “Samsung Shares SSD Roadmap for QLC NAND And 96-layer 3D NAND”. 《아난드테크》. 2018년 10월 18일에 원본 문서에서 보존된 문서. 2019년 6월 27일에 확인함.
- ↑ “Micron's 232 Layer NAND Now Shipping”. 《AnandTech》. 2022년 7월 26일. 2022년 7월 27일에 원본 문서에서 보존된 문서.
- ↑ “232-Layer NAND”. 《Micron》. 2022년 10월 17일에 확인함.
- ↑ “First to Market, Second to None: the World's First 232-Layer NAND”. 《Micron》. 2022년 7월 26일.
- ↑ “Comparison: Latest 3D NAND Products from YMTC, Samsung, SK hynix and Micron”. 《TechInsights》. 2023년 1월 11일.
- ↑ Han-Way Huang (2008년 12월 5일). 《Embedded System Design with C805》. Cengage Learning. 22쪽. ISBN 978-1-111-81079-5. 2018년 4월 27일에 원본 문서에서 보존된 문서.
- ↑ Marie-Aude Aufaure; Esteban Zimányi (2013년 1월 17일). 《Business Intelligence: Second European Summer School, eBISS 2012, Brussels, Belgium, July 15-21, 2012, Tutorial Lectures》. Springer. 136쪽. ISBN 978-3-642-36318-4. 2018년 4월 27일에 원본 문서에서 보존된 문서.
- ↑ 가 나 다 라 “1965: Semiconductor Read-Only-Memory Chips Appear”. 《컴퓨터 역사 박물관》. 2019년 6월 20일에 확인함.
- ↑ “1971: Reusable semiconductor ROM introduced”. 《The Storage Engine》. 컴퓨터 역사 박물관. 2019년 6월 19일에 확인함.
- ↑ Iizuka, H.; Masuoka, F.; Sato, Tai; Ishikawa, M. (1976). 《Electrically alterable avalanche-injection-type MOS READ-ONLY memory with stacked-gate structure》. 《IEEE Transactions on Electron Devices》 23. 379–387쪽. Bibcode:1976ITED...23..379I. doi:10.1109/T-ED.1976.18415. ISSN 0018-9383. S2CID 30491074.
- ↑ 《μCOM-43 SINGLE CHIP MICROCOMPUTER: USERS' MANUAL》 (PDF). NEC 마이크로컴퓨터. January 1978. 2019년 6월 27일에 확인함.
- ↑ “2716: 16K (2K x 8) UV ERASABLE PROM” (PDF). Intel. 2020년 9월 13일에 원본 문서 (PDF)에서 보존된 문서. 2019년 6월 27일에 확인함.
- ↑ “1982 CATALOG” (PDF). NEC 일렉트로닉스. 2019년 6월 20일에 확인함.
- ↑ 《Component Data Catalog》 (PDF). 인텔. 1978. 1–3쪽. 2019년 6월 27일에 확인함.
- ↑ “27256 Datasheet” (PDF). 인텔. 2019년 7월 2일에 확인함.
- ↑ “History of Fujitsu's Semiconductor Business”. 후지쯔. 2019년 7월 2일에 확인함.
- ↑ “D27512-30 Datasheet” (PDF). 인텔. 2019년 7월 2일에 확인함.
- ↑ “A Computer Pioneer Rediscovered, 50 Years On”. 《The New York Times》. April 20, 1994. November 4, 2016에 원본 문서에서 보존된 문서.
- ↑ “History of Computers and Computing, Birth of the modern computer, Relays computer, George Stibitz”. 《history-computer.com》. 2019년 8월 22일에 확인함.
Initially the 'Complex Number Computer' performed only complex multiplication and division, but later a simple modification enabled it to add and subtract as well. It used about 400-450 binary relays, 6-8 panels, and ten multiposition, multipole relays called "crossbars" for temporary storage of numbers.
- ↑ 가 나 다 라 마 “1953: Transistorized Computers Emerge”. 《컴퓨터 역사 박물관》. 2019년 6월 19일에 확인함.
- ↑ 가 나 “ETL Mark III Transistor-Based Computer”. 《IPSJ Computer Museum》. 정보처리학회. 2019년 6월 19일에 확인함.
- ↑ 가 나 “Brief History”. 《IPSJ Computer Museum》. 정보처리학회. 2019년 6월 19일에 확인함.
- ↑ “1962: Aerospace systems are first the applications for ICs in computers | The Silicon Engine | Computer History Museum”. 《www.computerhistory.org》. 2019년 9월 2일에 확인함.
- ↑ 가 나 “PDP-8 (Straight 8) Computer Functional Restoration”. 《www.pdp8.net》. 2019년 8월 22일에 확인함.
backplanes contain 230 cards, approximately 10,148 diodes, 1409 transistors, 5615 resistors, and 1674 capacitors
- ↑ “IBM 608 calculator”. 《IBM》. 2003년 1월 23일. 2021년 3월 8일에 확인함.
- ↑ “【NEC】 NEAC-2201”. 《IPSJ Computer Museum》. 정보처리학회. 2019년 6월 19일에 확인함.
- ↑ “【Hitachi and Japanese National Railways】 MARS-1”. 《IPSJ Computer Museum》. 정보처리학회. 2019년 6월 19일에 확인함.
- ↑ The IBM 7070 Data Processing System. Avery et al. (page 167)
- ↑ “【Matsushita Electric Industrial】 MADIC-I transistor-based computer”. 《IPSJ Computer Museum》. 정보처리학회. 2019년 6월 19일에 확인함.
- ↑ “【NEC】 NEAC-2203”. 《IPSJ Computer Museum》. 정보처리학회. 2019년 6월 19일에 확인함.
- ↑ “【Toshiba】 TOSBAC-2100”. 《IPSJ Computer Museum》. 정보처리학회. 2019년 6월 19일에 확인함.
- ↑ 7090 Data Processing System
- ↑ Luigi Logrippo. "My first two computers: Elea 9003 and Elea 6001: Memories of a 'bare-metal' programmer".
- ↑ “【Mitsubishi Electric】 MELCOM 1101”. 《IPSJ Computer Museum》. 정보처리학회. 2019년 6월 19일에 확인함.
- ↑ Erich Bloch (1959). 《The Engineering Design of the Stretch Computer》 (PDF). Eastern Joint Computer Conference.
- ↑ “【NEC】NEAC-L2”. 《IPSJ Computer Museum》. 정보처리학회. 2019년 6월 19일에 확인함.
- ↑ Thornton, James (1970). 《Design of a Computer: the Control Data 6600》. 20쪽.
- ↑ "Digital Equipment PDP-8/S".
- ↑ "The PDP-8/S - an exercise in cost reduction"
- ↑ "PDP-8/S"
- ↑ "The Digital Equipment Corporation PDP-8: Models and Options: The PDP-8/I".
- ↑ James F. O'Loughlin. "PDP-8/I: bigger on the inside yet smaller on the outside".
- ↑ Jan M. Rabaey, Digital Integrated Circuits, Fall 2001: Course Notes, Chapter 6: Designing Combinatorial Logic Gates in CMOS, retrieved October 27, 2012.
- ↑ Richard F. Tinder (January 2000). 《Engineering Digital Design》. Academic Press. ISBN 978-0-12-691295-1.
- ↑ 가 나 다 라 Engineers, Institute of Electrical Electronics (2000). 《100-2000》 7판. doi:10.1109/IEEESTD.2000.322230. ISBN 978-0-7381-2601-2. IEEE Std 100-2000.
- ↑ 가 나 다 Smith, Kevin (1983년 8월 11일). 《Image processor handles 256 pixels simultaneously》. 《Electronics》.
- ↑ Kanellos, Michael (2005년 2월 9일). “Cell chip: Hit or hype?”. 《CNET News》. 2012년 10월 25일에 원본 문서에서 보존된 문서.
- ↑ Kennedy, Patrick (June 2019). “Hands-on With a Graphcore C2 IPU PCIe Card at Dell Tech World”. 《servethehome.com》. 2019년 12월 29일에 확인함.
- ↑ “Colossus – Graphcore”. 《en.wikichip.org》. 2019년 12월 29일에 확인함.
- ↑ Graphcore. “IPU Technology”. 《www.graphcore.ai》.
- ↑ “Cerebras Unveils 2nd Gen Wafer Scale Engine: 850,000 Cores, 2.6 Trillion Transistors - ExtremeTech”. 《www.extremetech.com》. 2021년 4월 21일. 2021년 4월 22일에 확인함.
- ↑ “Cerebras Wafer Scale Engine WSE-2 and CS-2 at Hot Chips 34”. 《ServeTheHome》. 2022년 8월 23일.
- ↑ “NVIDIA NVLink4 NVSwitch at Hot Chips 34”. 《ServeTheHome》. 2022년 8월 22일.
- ↑ 가 나 Schor, David (2019년 4월 6일). “TSMC Starts 5-Nanometer Risk Production”. 《WikiChip Fuse》. 2019년 4월 7일에 확인함.
- ↑ “1960: Metal Oxide Semiconductor (MOS) Transistor Demonstrated”. 《컴퓨터 역사 박물관》. 2019년 7월 17일에 확인함.
- ↑ Lojek, Bo (2007). 《History of Semiconductor Engineering》. 스프링거 사이언스+비즈니스 미디어. 321–3쪽. ISBN 9783540342588.
- ↑ “1963: Complementary MOS Circuit Configuration is Invented”. 《컴퓨터 역사 박물관》. 2019년 7월 6일에 확인함.
- ↑ “1964: First Commercial MOS IC Introduced”. 《컴퓨터 역사 박물관》. 2019년 7월 17일에 확인함.
- ↑ 가 나 Lojek, Bo (2007). 《History of Semiconductor Engineering》. 스프링거 사이언스+비즈니스 미디어. 330쪽. ISBN 9783540342588.
- ↑ Lambrechts, Wynand; Sinha, Saurabh; Abdallah, Jassem Ahmed; Prinsloo, Jaco (2018). 《Extending Moore's Law through Advanced Semiconductor Design and Processing Techniques》. CRC Press. 59쪽. ISBN 9781351248655.
- ↑ Belzer, Jack; Holzman, Albert G.; Kent, Allen (1978). 《Encyclopedia of Computer Science and Technology: Volume 10 – Linear and Matrix Algebra to Microorganisms: Computer-Assisted Identification》. CRC Press. 402쪽. ISBN 9780824722609.
- ↑ “Intel Microprocessor Quick Reference Guide”. 《인텔》. 2019년 6월 27일에 확인함.
- ↑ “1978: Double-well fast CMOS SRAM (Hitachi)” (PDF). 《Semiconductor History Museum of Japan》. 2019년 7월 5일에 확인함.
- ↑ “0.18-micron Technology”. TSMC. 2019년 6월 30일에 확인함.
- ↑ 가 나 다 라 65nm CMOS Process Technology
- ↑ 디펜도프, 키스 (1999년 11월 15일). "할은 스파크스를 날게 한다". 마이크로프로세서 리포트, Volume 13, Number 5.
- ↑ 가 나 Cutress, Ian. “Intel's 10nm Cannon Lake and Core i3-8121U Deep Dive Review”. 《아난드테크》. 2019년 1월 30일에 원본 문서에서 보존된 문서. 2019년 6월 19일에 확인함.
- ↑ “Samsung Shows Industry's First 2-Gigabit DDR2 SDRAM”. 《삼성 반도체》 (삼성). 2004년 9월 20일. 2019년 6월 25일에 확인함.
- ↑ Williams, Martyn (2004년 7월 12일). “Fujitsu, Toshiba begin 65nm chip trial production”. 《인포월드》. 2019년 6월 26일에 확인함.
- ↑ Elpida's presentation at Via Technology Forum 2005 and Elpida 2005 Annual Report
- ↑ “Fujitsu Introduces World-class 65-Nanometer Process Technology for Advanced Server, Mobile Applications”. 2011년 9월 27일에 원본 문서에서 보존된 문서. 2019년 6월 20일에 확인함.
- ↑ 가 나 다 라 “Intel Now Packs 100 Million Transistors in Each Square Millimeter”. 《IEEE Spectrum: Technology, Engineering, and Science News》. 2017년 3월 30일. 2018년 11월 14일에 확인함.
- ↑ “40nm Technology”. TSMC. 2019년 6월 30일에 확인함.
- ↑ “Toshiba Makes Major Advances in NAND Flash Memory with 3-bit-per-cell 32nm generation and with 4-bit-per-cell 43nm technology”. 《도시바》. 2009년 2월 11일. 2019년 6월 21일에 확인함.
- ↑ 가 나 “History: 2010s”. 《SK하이닉스》. 2021년 4월 29일에 원본 문서에서 보존된 문서. 2019년 7월 8일에 확인함.
- ↑ Shimpi, Anand Lal (2012년 6월 8일). “SandForce Demos 19nm Toshiba & 20nm IMFT NAND Flash”. 《아난드테크》. 2012년 6월 9일에 원본 문서에서 보존된 문서. 2019년 6월 19일에 확인함.
- ↑ 가 나 Schor, David (2019년 4월 16일). “TSMC Announces 6-Nanometer Process”. 《WikiChip Fuse》. 2019년 5월 31일에 확인함.
- ↑ “16/12nm Technology”. TSMC. 2019년 6월 30일에 확인함.
- ↑ 가 나 다 “VLSI 2018: Samsung's 8nm 8LPP, a 10nm extension”. 《WikiChip Fuse》. 2018년 7월 1일. 2019년 5월 31일에 확인함.
- ↑ “Samsung Mass Producing 128Gb 3-bit MLC NAND Flash”. 《탐스 하드웨어》. 2013년 4월 11일. 2019년 6월 21일에 원본 문서에서 보존된 문서. 2019년 6월 21일에 확인함.
- ↑ “10nm Technology”. TSMC. 2019년 6월 30일에 확인함.
- ↑ 가 나 다 라 마 바 사 아 자 “Can TSMC maintain their process technology lead”. 《SemiWiki》. 2020년 4월 29일.
- ↑ 가 나 Jones, Scotten (2019년 5월 3일). “TSMC and Samsung 5nm Comparison”. 《Semiwiki》. 2019년 7월 30일에 확인함.
- ↑ 가 나 다 Nenni, Daniel (2019년 1월 2일). “Samsung vs TSMC 7nm Update”. 《Semiwiki》. 2019년 7월 6일에 확인함.
- ↑ “7nm Technology”. TSMC. 2019년 6월 30일에 확인함.
- ↑ Schor, David (2018년 6월 15일). “A Look at Intel's 10nm Std Cell as TechInsights Reports on the i3-8121U, finds Ruthenium”. 《WikiChip Fuse》. 2019년 5월 31일에 확인함.
- ↑ 가 나 “Samsung Foundry update 2019”. 《SemiWiki》. 2019년 8월 6일.
- ↑ Jones, Scotten (2018년 6월 25일), 《7nm, 5nm and 3nm Logic, current and projected processes》
- ↑ Shilov, Anton. “Samsung Completes Development of 5nm EUV Process Technology”. 《아난드테크》. 2019년 4월 18일에 원본 문서에서 보존된 문서. 2019년 5월 31일에 확인함.
- ↑ 가 나 다 라 “Samsung Foundry Innovations Power the Future of Big Data, AI/ML and Smart, Connected Devices”. 2021년 10월 7일.
- ↑ “Qualcomm confirms Snapdragon 8 Gen 1 is made using Samsung's 4nm process”. 2021년 12월 2일.
- ↑ Wilde, Damien (2022년 1월 14일). “List of Snapdragon 8 Gen 1 smartphones available since December 2021”. 《9to5Google》.
- ↑ 가 나 “TSMC Extends Its 5nm Family With A New Enhanced-Performance N4P Node”. 《WikiChip》. 2021년 10월 26일.
- ↑ “MediaTek Launches Dimensity 9000 built on TSMC N4 process”. 2021년 12월 16일.
- ↑ “TSMC Expands Advanced Technology Leadership with N4P Process (press release)”. 《TSMC》. 2021년 10월 26일.
- ↑ Armasu, Lucian (2019년 1월 11일), “Samsung Plans Mass Production of 3nm GAAFET Chips in 2021”, 《www.tomshardware.com》
- ↑ “Samsung Starts 3nm Production: The Gate-All-Around (GAAFET) Era Begins”. 《AnandTech》. 2022년 6월 30일. 2022년 7월 2일에 원본 문서에서 보존된 문서.
- ↑ “TSMC Plans New Fab for 3nm”. 《EE 타임스》. 2016년 12월 12일. 2019년 9월 26일에 확인함.
- ↑ 가 나 다 “TSMC Roadmap Update: 3nm in Q1 2023, 3nm Enhanced in 2024, 2nm in 2025” (미국 영어). 《www.anandtech.com》. 2021년 10월 18일. 2021년 10월 18일에 원본 문서에서 보존된 문서.
- ↑ “TSMC Introduces N4X Process (press release)”. 《TSMC》. 2021년 12월 16일.
- ↑ “The Future Is Now (blog post)”. 《TSMC》. 2021년 12월 16일.
- ↑ “TSMC Unveils N4X Node”. 《AnandTech》. 2021년 12월 17일. 2022년 5월 25일에 원본 문서에서 보존된 문서.
- ↑ 가 나 “TSMC roadmap update”. 《AnandTech》. 2022년 4월 22일. 2022년 4월 25일에 원본 문서에서 보존된 문서.
- ↑ Smith, Ryan (2022년 6월 13일). “Intel 4 Process Node In Detail: 2x Density Scaling, 20% Improved Performance”. 《AnandTech》. 2022년 6월 13일에 원본 문서에서 보존된 문서.
- ↑ Alcorn, Paul (2021년 3월 24일). “Intel Fixes 7nm, Meteor Lake and Granite Rapids Coming in 2023”. 《Tom's Hardware》. 2021년 6월 1일에 확인함.
- ↑ 가 나 다 라 Cutress, Dr Ian. “Intel's Process Roadmap to 2025: with 4nm, 3nm, 20A and 18A?!”. 《www.anandtech.com》. 2021년 7월 26일에 원본 문서에서 보존된 문서. 2021년 7월 27일에 확인함.
- ↑ 가 나 다 Cutress, Dr Ian (2022년 2월 17일). “Intel Discloses Multi-Generation Xeon Scalable Roadmap: New E-Core Only Xeons in 2024”. 《www.anandtech.com》. 2022년 2월 17일에 원본 문서에서 보존된 문서.
- ↑ “Samsung Electronics Unveils Plans for 1.4nm Process Technology and Investment for Production Capacity at Samsung Foundry Forum 2022”. 《Samsung Global Newsroom》. 2022년 10월 4일.
- ↑ Wecker, Dave; Bauer, Bela; Clark, Bryan K.; Hastings, Matthew B.; Troyer, Matthias (2014). 《Gate-count estimates for performing quantum chemistry on small quantum computers》. 《Physical Review A》 90. arXiv:1312.1695. Bibcode:2014PhRvA..90b2305W. doi:10.1103/PhysRevA.90.022305.
- ↑ Does gate count matter? Hardware efficiency of logic-minimization techniques for cryptographic primitives
- ↑ Sarmento, Jose; Stonick, John T. (2010). 〈A minimal-gate-count fully digital frequency-tracking oversampling CDR circuit〉. 《Proceedings of 2010 IEEE International Symposium on Circuits and Systems》. 2099–2102쪽. doi:10.1109/ISCAS.2010.5537061. ISBN 978-1-4244-5308-5.
- ↑ Ghoniem, Omar; Elsayed, Hatem; Soubra, Hassan (2023). 〈Quantum Gate Count Analysis〉. 《2023 Eleventh International Conference on Intelligent Computing and Information Systems (ICICIS)》. 190–197쪽. doi:10.1109/ICICIS58388.2023.10391119. ISBN 979-8-3503-2208-8.